Military Embedded Systems October 2023

Page 1

@military_cots

John McHale

7

MOSA gains momentum abroad

Mil Tech Insider

8

High voltage for ground vehicles

Technology Update Next-gen counter-UASs

Industry Spotlight

SOSA's impact on EW designs www.MilitaryEmbedded.com

10 32

October 2023 | Volume 19 | Number 7

5G ON THE FRONT LINES: NAVIGATING THE FUTURE OF DEFENSE COMMUNICATIONS P 14

P 28 Addressing the challenges of military training simulation

By Rusmat Ahmed, Bohemia Interactive Simulations (BISim)


Power for your world. Simplify your designs by leveraging ADI’s highly integrated power solutions.

Integrated Solutions

Local Design Support

Easy to Use Tools

Find your competitive advantage at analog.com/power



www.militaryembedded.com

TABLE OF CONTENTS 14

October 2023 Volume 19 | Number 7

22

COLUMNS

Editor’s Perspective 7 MOSA strategies gaining momentum across the Atlantic By John McHale

Mil Tech Insider 8 Delivering high-voltage power to ground vehicle systems By Christian Kopp

Technology Update 10 Dynamic drone threat calls for next-gen counter-UAS technology By Dawn Zoldi

FEATURES

THE LATEST

SPECIAL REPORT: Leveraging RF and microwave tech for 5G applications

Defense Tech Wire 12 By Dan Taylor

14 5G on the front lines: Navigating the future of defense communications By Dan Taylor, Technology Editor

Guest Blog 42 Enabling warfighter collaboration with crossdomain solutions

18 Expanding the horizons of RF connector designs for harsh military environments By Cameron Foley-Molovinsky, Times Microwave Systems

Editor’s Choice Products 44 By Military Embedded Systems Staff

MIL TECH TRENDS: Augmented-reality technology

By George Kamis

Connecting with Military Embedded 46 By Military Embedded Systems Staff

WEB RESOURCES Subscribe to the magazine or E-letter Live industry news | Submit new products http://submit.opensystemsmedia.com WHITE PAPERS – Read: https://militaryembedded.com/whitepapers

22 GaAs and GaN MMICs: Key component of defense RF electronic systems By Dr. David F. Brown, BAE Systems

24 Operating in degraded visual environments By Richard Jaenicke, Green Hills Software 28 Addressing the challenges of military training simulation By Rusmat Ahmed, Bohemia Interactive Simulations (BISim)

INDUSTRY SPOTLIGHT: SOSA Technical Standard 1.0: Impact on EW designs 32 SOSA’s impact on electronic warfare designs By John McHale, Group Editorial Director 36 Direct RF: The transformation of critical defense systems By Rodger Hosking, Mercury

WHITE PAPERS – Submit: http://submit.opensystemsmedia.com All registered brands and trademarks within Military Embedded Systems magazine are the property of their respective owners. © 2023 OpenSystems Media © 2023 Military Embedded Systems ISSN: Print 1557-3222

To unsubscribe, email your name, address, and subscription number as it appears on the label to: subscriptions@opensysmedia.com Published by:

4 October 2023

ON THE COVER: Fifth-generation (5G) mobile network technology for the military is intended to improve ISR systems and processing; enhance networking and security protocols; and streamline logistics operations among U.S. and allied forces. The use of 5G may herald incredible advancements like augmented reality for troops, expansive and immediate battlefield sensor networks, and drones autonomously scouring land, sea, and air. https://www.linkedin.com/groups/1864255/

MILITARY EMBEDDED SYSTEMS

@military_cots

www.militaryembedded.com


When it comes to VPX, one company has the most flavorS

ONLY VPXtra® OFFERS THE LARGEST SELECTION OF MIL-SPEC POWER SUPPLIES, WITH MINIMAL COSTS FOR ANY ADDITIONAL CUSTOMIZATION Most manufacturers offer just a few VPX power supplies off the shelf, with high costs for full-custom. The Behlman VPXtra® series offers the most COTS AC to DC and DC to DC units configured for a wide range of high-end industrial and military applications. All feature our state-of-the-art new engineering standard, Xtra-reliable design and Xtra-rugged construction.

Insist on the leader. Not just VPX, VPXtra®.

The Power Solutions Provider

AC POWER SUPPLIES / FREQUENCY CONVERTERS

: 631-435-0410

INVERTERS

: sales@behlman.com

COTS POWER SUPPLIES

: www.behlman.com


ADVERTISERS PAGE

ADVERTISER/AD TITLE

17 AirBorn – TriMate – next-generation circulars 2 Analog Devices, Inc. – Power for your world 48 Annapolis Micro Systems – The only full ecosystem of 3U & 6U 100 GbE products aligned with SOSA

GROUP EDITORIAL DIRECTOR John McHale john.mchale@opensysmedia.com ASSISTANT MANAGING EDITOR Lisa Daigle lisa.daigle@opensysmedia.com TECHNOLOGY EDITOR – WASHINGTON BUREAU Dan Taylor dan.taylor@opensysmedia.com CREATIVE DIRECTOR Stephanie Sweet stephanie.sweet@opensysmedia.com WEB DEVELOPER Paul Nelson paul.nelson@opensysmedia.com EMAIL MARKETING SPECIALIST Drew Kaufman drew.kaufman@opensysmedia.com

5 Behlman Electronics, Inc. – When it comes to VPX, one company has the most flavors

WEBCAST MANAGER Marvin Augustyn marvin.augustyn@opensysmedia.com VITA EDITORIAL DIRECTOR Jerry Gipper jerry.gipper@opensysmedia.com

21 Elma Electronic – Leaders in modular open standards enabling the modern warfighter 3 GMS – X9 Spider. The world's most powerful full-featured wearable AI computer 9 LCR Embedded Systems, Inc. – Develop. Demonstrate. Deploy. 35 Phoenix International – Phalanx II: The ultimate NAS 19 PICO Electronics Inc. – Size does matter!

SALES/MARKETING DIRECTOR OF SALES Tom Varcie tom.varcie@opensysmedia.com (734) 748-9660 STRATEGIC ACCOUNT MANAGER Rebecca Barker rebecca.barker@opensysmedia.com (281) 724-8021 STRATEGIC ACCOUNT MANAGER Bill Barron bill.barron@opensysmedia.com (516) 376-9838 STRATEGIC ACCOUNT MANAGER Kathleen Wackowski kathleen.wackowski@opensysmedia.com (978) 888-7367 SOUTHERN CAL REGIONAL SALES MANAGER Len Pettek len.pettek@opensysmedia.com (805) 231-9582 DIRECTOR OF SALES ENABLEMENT Barbara Quinlan barbara.quinlan@opensysmedia.com AND PRODUCT MARKETING (480) 236-8818

31 Pixus Technologies – Ruggedized x410 NI SDRs for maximum performance!

INSIDE SALES Amy Russell amy.russell@opensysmedia.com STRATEGIC ACCOUNT MANAGER Lesley Harmoning lesley.harmoning@opensysmedia.com EUROPEAN ACCOUNT MANAGER Jill Thibert jill.thibert@opensysmedia.com

40 State of the Art, Inc. – Mission critical? Choose State of the Art resistors

TAIWAN SALES ACCOUNT MANAGER Patty Wu patty.wu@opensysmedia.com CHINA SALES ACCOUNT MANAGER Judy Wang judywang2000@vip.126.com

EVENTS Aerospace Tech Week Americas November 14-15, 2023 Atlanta, GA https://www.aerospacetechweek.com/americas/

WWW.OPENSYSMEDIA.COM

Association of Old Crows International Symposium & Convention (AOC 2023) December 11-13 National Harbor, MD https://www.crows.org/mpage/2023HOME

EXECUTIVE VICE PRESIDENT AND ECD BRAND DIRECTOR Rich Nass rich.nass@opensysmedia.com

PRESIDENT Patrick Hopper patrick.hopper@opensysmedia.com EXECUTIVE VICE PRESIDENT John McHale john.mchale@opensysmedia.com

SENIOR TECHNOLOGY EDITOR Ken Briodagh ken.briodagh@opensysmedia.com TECHNOLOGY EDITOR Curt Schwaderer curt.schwaderer@opensysmedia.com

I/ITSEC November 27-December 1, 2023 Orlando, FL https://www.iitsec.org/

ASSOCIATE EDITOR Tiera Oliver tiera.oliver@opensysmedia.com ASSOCIATE EDITOR Taryn Engmark taryn.engmark@opensysmedia.com PRODUCTION EDITOR Chad Cox chad.cox@opensysmedia.com GRAPHIC DESIGNER Kaitlyn Bellerson kaitlyn.bellerson@opensysmedia.com FINANCIAL ASSISTANT Emily Verhoeks emily.verhoeks@opensysmedia.com

WEBCAST

SUBSCRIPTION MANAGER subscriptions@opensysmedia.com

Thermal Management: Cooling Complex Embedded Computing Systems Sponsored by LCR Embedded Systems and NVent Schroff https://tinyurl.com/3zc2yur5 (This is an archived event.)

6 October 2023

CORPORATE OFFICE 1505 N. Hayden Rd. #105 • Scottsdale, AZ 85257 • Tel: (480) 967-5581 REPRINTS WRIGHT’S MEDIA REPRINT COORDINATOR Kathy Richey clientsuccess@wrightsmedia.com (281) 419-5725

MILITARY EMBEDDED SYSTEMS

www.militaryembedded.com


EDITOR’S PERSPECTIVE

MOSA strategies gaining momentum across the Atlantic By John McHale, Editorial Director Many questions on open architecture initiatives and the U.S. Department of Defense (DoD) modular open systems approach (MOSA) mandate were being floated to U.S. embedded hardware and software suppliers at the DSEI 2023 show in London in September.

John.McHale@opensysmedia.com

Members from the Sensor Open Systems Architecture (SOSA) and Future Airborne Capability Environment (FACE) consortia exhibiting at DSEI 2023 both told me there is considerable interest in the SOSA and FACE Technical Standards and in MOSA from European companies, even if there is no official MOSA mandate like the one from the DoD.

“MOSA is truly a global effort and it is a simple fact that larger standards organizations get more media buzz,” he explained. “The drive for using MOSA strategies and open standards eclipses all regional and budget and program constraints – it is an existential imperative. The inherent value provided by using an open architecture based upon open standards simply cannot be compared to legacy stovepiped endeavors. The adoption of MOSA and SOSA and FACE and COSA and more should not, and is not, gated by a demand by a large U.S. DoD program to require supporting open standards – these ‘OSA’ efforts get accelerated when large programs make it part of their requirements.”

There is quite a bit of interest in SOSA and SOSA aligned products within the U.K., says Noah Donaldson, chief technical officer, Annapolis Micro Systems; not so much in continental Europe, yet, he adds.

The interest in open architectures had actually been steady prior to the MOSA mandate and even before SOSA and FACE were founded, as ways to lower life cycle costs, reduce obsolescence headaches, and leverage commercial innovation.

I asked Chip Downing, Outreach Committee Co-chair of the Future Airborne Capability Environment (FACE) Consortium and Senior Market Development Director for Aerospace and Defense at RTI, what type of open architecture strategies are being pursued by European defense departments and if the U.S. initiatives like FACE influenced those efforts.

“Using open architectures is the only way a defense company can outrun their competitors and nations can maintain a technological advantage over their adversaries,” Downing says. “Gone are the days of a defense prime owning their supply chain that is required to use a closed architecture. In the new MOSA world there is a limited pool of talent that can support this strategy, and competitors using open architectures simply can execute faster with lower costs by having a larger talent pool that has experience with open architectures. Having a closed architecture used to be a way to lock down business on a given military program – now it locks out business because innovation cannot happen fast enough.”

“Both FACE and SOSA are big standardization efforts, supported by large U.S. military programs supporting international deployments,” he said. “FACE and SOSA each have close to 100 defense companies in their respective standards organizations.” European and “regional military defense budgets simply cannot support efforts of this size,” he explained. “Both initiatives are ‘standards of standards’ that anyone can use, so regional/ national organizations can immediately take advantage of these efforts and meld then with MOSA efforts of their own.” Large programs of record often carry even more weight than government mandates and the U.S. military has a few of those. What fuels the adoption of MOSA and SOSA in the U.S. is that – along with the MOSA mandate – there are major programs requiring SOSA aligned products, says Bob Kirk, sales and business development leader at Annapolis Micro Systems. Open architecture efforts in Europe are more regional in origin. “Some may ask why these regional militaries created their own open architecture initiatives,” Downing continued. “The simple answer is that both FACE and SOSA are just now gaining significant traction, so these countries decided to create parallel efforts to ensure their capabilities were not left behind. www.militaryembedded.com

More education needed Many international customers are dipping their toes into SOSA, as it addresses many of the problems they are looking to solve, but education is needed, says Mark Littlefield, director of system products at Elma Electronic. There are a lot of misconceptions about SOSA, with the main one being that it is limited only to U.S. companies, but that is not true, he added. VPX opened doors What also drives SOSA is the demand for high-density VPX products, as VPX has been adopted by the SOSA Technical Standard, says Nigel Norman, CEO of Sarsen Technology, a U.K.-based distributor of embedded FPGA, GPU, and computing hardware, software, and IP for high-performance applications. The aforementioned Annapolis Micro Systems is one of his clients. There is a demand for higher density with a smaller number of channels, and VPX solutions enable that, Norman adds. For all our DSEI 2023 coverage, please continue to head to www.militaryembedded.com/dsei.

MILITARY EMBEDDED SYSTEMS

October 2023

7


MIL TECH INSIDER

Delivering high-voltage power to ground vehicle systems

By Christian Kopp

The ability to provide high-voltage power on ground vehicle platforms is a rapidly growing requirement as high peak power is often needed to accelerate a high-inertia load – such as a turret or a weapons system – to a precise position in the shortest possible time. Previously, the delivery of short bursts of peak power came with an associated risk of damage to the vehicle’s electrical systems. One alternative approach, which employs a higher current system, requires large banks of heavy batteries and large cable cross-sections and also wastefully produces large amounts of undesired heat. As the roles and missions of ground vehicles become more complex, the demands placed on their electrical power-­ generation and distribution systems have increased dramatically. Even light, high-mobility vehicles are being mounted with sensor, weapons, and communications systems requiring much greater generating capacity than the vehicles were originally designed to provide. Legacy vehicle electrical systems were based on 28 VDC, but this rating does not scale well in size or weight when required to deliver the tens of kilowatts needed to meet future expectations. In addition to the weapons, sensors, and mission electronics that are becoming part of the regular vehicle kit, dismounted soldiers are heavy consumers of electrical power. This is particularly true when they are away from base overnight and need lights and heat plus recharging of the many portable electronic devices they are obliged to carry. The traditional solution is to truck in mobile generators and fuel, but significant savings in logistics and cost could be leveraged using in-vehicle generating capacity. The electrical needs of a typical light-utility vehicle such as an HMMWV [High Mobility Multipurpose Wheeled Vehicle, also known as a Humvee] can be met with a 28 V/50 A alternator providing all that is needed for driving, lighting, crew comfort, and battery charging. It’s also true that designers can already anticipate future needs, including support for dismounted soldiers, on the order of 10 kW, requiring 400 A or more at 28 V. Unless exotic, expensive materials are used in its construction, a 400 A alternator becomes disproportionately large and heavy and will therefore dissipate a lot of extra heat. The weight and cost of cabling to distribute this amount of power within the vehicle also become prohibitive; even if discrete bites are taken from the 400 A to distribute it to individual subsystems, the total – if distributed over any distance – will still add up to the same weight and cost. For these reasons, many new or upgraded vehicle programs are now generating and distributing power at a much higher voltage, such as 600 V. Generating power at 600 V helps to resolve many SWaP [size, weight, and power] issues for new vehicles, enabling the

8 October 2023

MILITARY EMBEDDED SYSTEMS

extension of their capability and mission envelopes. This 600 V move can be done on not only light, high-mobility vehicles, but on many other land vehicles with large power-generation and distribution requirements. One advantage: the ability to share common converter, distribution, and power-management technology across a range of vehicle sizes. As the weapons, sensor, and mission systems will become more powerful and dissipate more heat, power- and heat-management systems will need to evolve with them.

Generating power at 600 V helps to resolve many SWaP [size, weight, and power] issues for new vehicles, enabling the extension of their capability and mission envelopes. This 600 V move can be done on not only light, high-mobility vehicles, but on many other land vehicles with large powergeneration and distribution requirements. An example of a solution for system integrators that require a high-voltage electric gun turret drive system for new platform designs or for upgrading legacy motion-control systems is Curtiss-Wright’s new High Voltage Turret Drive Stabilization System (HV TDSS) system that converts, stores, and controls the platform’s existing continuous low voltage 28 V systems and boosts voltages up to 750 V as needed. The system is aimed at aiming and stabilizing turrets on mobile platforms and for rapid direct drive architectures on mobile weapons systems. The system can be integrated into a vehicle’s existing power architecture without a major impact on size and weight while giving a boost in power. It is designed for use in applications that include large caliber turrets and main battle tank TDSS, and high-speed motion-control systems including active protection systems, optical tracking systems, and directed-energy weapons. The modular high-voltage system leverages the continuous low-voltage power available on mobile platforms to enable the high-voltage energy buffering required for the higher voltage, short-burst peak power. It also enables the controlled flow of regenerative power back into the power architecture (e.g., energy storage or power source). Christian Kopp is managing director, Drive Technology, for Curtiss-Wright Defense Solutions. Curtiss-Wright Defense Solutions https://www.curtisswrightds.com/ www.militaryembedded.com


Develop. Demonstrate. Deploy. STREAMLINING THE JOURNEY Design Solutions from Start to Finish for SOSA™ Aligned Payloads

VPX system realization is the totality of 3 top level activities: • Lab development and integration • Field test and demonstration • Final system deployment LCR has the products and experience to ensure success every step of the way.

SERVING CRITICAL DEFENSE PROGRAMS FOR OVER 30 YEARS

lcrembeddedsystems.com | (800) 747-5972


TECHNOLOGY UPDATE

EnforceAir Cyber C-UAS user interface on an in-military vehicle tablet. D-Fend Solutions image.

Dynamic drone threat calls for next-gen counter-UAS technology By Dawn M.K. Zoldi More than 18 months ago, Russia invaded Ukraine, but Ukraine has managed to stave off many of Russia’s attacks. Among other tactics, one strategy Ukraine has used is to launch an all-out small commercial off-the-shelf (COTS) uncrewed aerial system (UAS) or drone counteroffensive that has played a pivotal role in its survival. The success of COTS drones in battle highlights a significant possible physical challenge for which U.S. military and homeland security officials should plan now. Those plans should include one of the most precise ways to take out rogue drones, namely cyber radio frequency (RF) takeover technology. That technology just got a little better.

Inexpensive COTS drones provide a number of advantages. They can fly over barriers, scout out the location of enemy assets and operations and, with precision, remotely deliver lethal and non-lethal payloads. This can wreak havoc on mission critical capabilities and opposition forces.

COTS drones in battle not new Historically, the might of air power remained reserved for nation-states that could afford standing professional militaries. In 1990s, the weaponized Predator and other large drones made their battlefield debut. A lethal combination of intelligence, surveillance, and reconnaissance (ISR) and kinetic strike capabilities, these aircraft soon became a must-have asset for military forces. The state-level monopoly on drones was shortlived. The low cost, widespread availability, and flexibility of small COTS drones democratized air power.

Both Russian and Ukrainian forces have copied some of these same tactics and techniques. Perhaps unique to this conflict, combatants have utilized First Person View (FPV) hobby drones and modified them to increase their range and capacity. The world has also witnessed the increased use of loitering munition-type drones, attritable kamikaze drones and airborne improvised explosive devices (IED).

10 October 2023

MILITARY EMBEDDED SYSTEMS

Over the past several years, global militant groups have routinely employed small COTS drones as lookouts and attack vectors. In one of many such examples, in 2019, a weaponized small drone killed six Yemeni military officers when it exploded over them.

According to James Poss (Maj. Gen., U.S. Air Force, Retired), because these COTS drones have proven so effective, they have www.militaryembedded.com


essentially created a new way of waging warfare. He said, “If small COTS drones can have such an impact on the battlefield, imagine the impact terrorists could have in our homeland.” The implications, across the board, will likely be profound. Implications of possible UAS use Adapted commercial and hobby drones have made a difference in the Russia-Ukraine conflict in unprecedented ways. Poss asserts, “In the U.S., we spend a lot of time preparing for the next air attack to come across the hemisphere. But the next attack may come across the parking lot.” Poss believes this “frightening prospect” reinforces the need for remote identification, safe and nondisruptive counterdrone technology, and enhanced counterdrone authorities in the United States. Gen. Poss is not the only concerned military expert. Northrop Grumman’s Kent Savre (Maj. Gen., U.S. Army, Retired), director for the company’s precision weapons operating unit, agrees with him. “The same types of commercial drones helping Ukraine can provide an asymmetric advantage to those who would do us harm right here in the homeland.” Bad actors keep a watchful eye on effective tactics, techniques, and procedures (TTPs) and adapt them to their own needs. According to international security and counterterrorism professional Robert J. Bunker, the current director of research and analysis and a managing partner of C/O Futures, “... more and more homeland security professionals and scholars see future weaponized drone attacks taking place domestically as an inevitable ‘no-brainer’ given the rapid expansion in overseas use.” Center for Naval Analyses defense analyst Samuel Bendett also states that to counter these drone threats, “the trend in Ukraine at least is towards making these systems portable, from hand-held to light truck/car-transportable systems that can be moved and deployed quickly.” The same approach applies in the domestic setting. End-to-end detection and mitigation Counterdrone technology, whether for the military, law enforcement, homeland security, or critical infrastructure professionals, needs to be able to adapt in the field as swiftly as the inbound bogeys (a term for unidentified aircraft or missiles). D-Fend Solutions adapted its leading edge EnforceAir RF cyber-based counterdrone takeover system to meet these needs in its upgraded EnforceAir 2 (EA2). The new unit, with enhanced cyber detection and takeover mitigation capabilities, deploys as smaller and more portable, with longer range and increased power. It comes in all the same modalities as the original EnforceAir kits (tactical, military and covert vehicle, stationary and semi-stationary pole mount, man portable, and standalone core unit), and can be fully integrated with D-Fend Solutions’ Multi-Sensor Command & Control system (MSC2), which enables users to manage www.militaryembedded.com

Figure 1 | The EnforceAir 2 counter-UAS system is portable and can be mounted on a military vehicle. D-Fend Solutions image.

multiple different EnforceAir deployment kits simultaneously and remotely from a single server, but with decreased size, weight, and power (SWaP). (Figure 1.) EA2 now includes a compact backpack deployment version, ideal for covert operations or rapidly deployable counterdrone capabilities on the go. The EA2 backpack deployment option provides full counterdrone functionality, with multiple input/ multiple output (MIMO) 360-degree omnidirectional antennas covertly concealed in its weather-resistant composite material fabric. Rugged, slim, and lightweight, weighing a little over 60 pounds fully packed (with the software-defined radio (SDR), cables, management table, and power supply), EA2’s design accommodates a range of tactical and operational scenarios, conditions, and terrains. Backpack aside, the EA2 core unit itself can operate in extreme temperatures from -30 ºC (-22 ºF) to +50 ºC (122 ºF). Regardless of configuration, EA2 comes with quick setup locking and release mechanisms that enable rapid conversions during changing (or challenging) operational situations. The batteries provide 2.5 hours of continuous coverage and can be easily hot-swapped in the field. Such coverage is longrange and legally compliant, typically detecting threats as far away as 4.5 km (2.7 miles), with mitigation range typically from 1.2 km (.75 mile) to 4 km (2.5 miles). Instances of use on much longer ranges have been observed. The unit meets standards including MIL-STD-810H (environmental ruggedness), MIL-STD-461 (electromagnetic specification), and IP66 (water/ dust ingress protection) and is intended to handle real-time edge processing. All of these capabilities are aimed at maximizing combat and security effectiveness. As the threat escalates and proliferates into more varied environments and scenarios, EnforceAir2 now brings unprecedented power, flexibility, and portability to security officials to confront and overcome the growing risks and challenging dangers. To learn more about EA2, visit https://d-fendsolutions.com/enforceair2-next-gen-c-uas/. Dawn M.K. Zoldi (Colonel, USAF, Retired) is the CEO of P3 Tech Consulting LLC.

MILITARY EMBEDDED SYSTEMS

October 2023

11


DEFENSE TECH WIRE NEWS | TRENDS | DoD SPENDS | CONTRACTS | TECHNOLOGY UPDATES

By Dan Taylor, Technology Editor

Australia to buy additional MQ-4C Triton Northrop Grumman will produce one more MQ-4C Triton multi-intelligence uncrewed aircraft for the Royal Australian Air Force (RAAF), bringing the total Australian fleet size to four, according to a company statement. Northrop Grumman Australia will also handle in-country maintenance for the Triton fleet at two RAAF locations: Edinburgh in South Australia and Tindal in the Northern Territory. The additional aircraft aims to bolster the RAAF’s Triton fleet and Australia’s maritime surveillance capabilities, with the Triton monitoring sea lanes Figure 1 | MQ-4C Triton. Image courtesy Northrop Grumman. in the Indo-Pacific region, serving not only as sensors but also as communication platforms to facilitate data transfer, the statement reads. The MQ-4C’s inaugural flight is expected to take place later this year at Northrop Grumman’s Palmdale Aircraft Integration Center in California ahead of the aircraft’s delivery to Australia in 2024, the company says.

Robotic Combat Vehicle prototypes bids selected by U.S. Army The U.S. Army chose four companies to design and create prototypes for the Robotic Combat Vehicle (RCV) as part of Phase I of a multi-phase competition, the service announced. The companies selected for this initiative are McQ, Inc. (Fredericksburg, Virginia); Textron Systems (Hunt Valley, Maryland); General Dynamics Land Systems (Sterling Heights, Michigan); and Oshkosh Defense (Oshkosh, Wisconsin), according to the Army. Each of the aforementioned companies is expected to develop and present two platform prototypes by August 2024. These will be used for platform mobility tests and soldier touchpoints to ensure the designs align with the Army’s needs for a lightweight, modular, and easily upgradeable robotic combat vehicle, the statement reads. The Army anticipates full-scale production by 2030.

Integrated avionics processors for V-22 to be supplied to U.S. Navy by Elbit America Elbit Systems of America will supply integrated avionics processors (IAPs) for the U.S. Navy’s V-22 tilt-rotor aircraft, according to a company statement. The processors will be manufactured in Fort Worth, Texas, and Haifa, Israel. The Naval Supply Systems Command Weapon Systems Support will oversee the contract. The company says that the IAPs they are providing employ an open systems architecture. Elbit America – which supplied cockpit avionics to the V-22 program for approximately 20 years – is also tasked with repairing line-replaceable units for the aircraft and has contributed materials to the V-22’s Color Helmet Mounted Display System, the company says.

12 October 2023

MILITARY EMBEDDED SYSTEMS

Figure 2 | A V-22 Osprey prepares to embark San Antonio-class amphibious transportation dock USS New York (LPD 21) during flight operations. U.S. Navy photo.

www.militaryembedded.com


Figure 3 | Ghost-X UAS. Image courtesy Anduril Industries.

Ghost-X UAS chosen by U.S. Air Force to support AFWERX mission The U.S. Air Force selected the Ghost and Ghost-X autonomous aerial systems from defense technology firm Anduril Industries to bolster its autonomy capabilities, according to an announcement from Anduril. The Ghost uncrewed aerial system (UAS) is designed primarily for reconnaissance, security, and force protection. Anduril will collaborate with the AFWERX programinnovation initiative, which aims to adapt technology to address changing global threats.

The Ghost system uses Anduril’s Lattice software platform, which automates mission planning, airspace management, and flight operations, with the aim of reducing the cognitive load on operators. The platform also leverages integration of various sensors, communications, navigation, and other mission-specific payloads through universal interfaces. The Ghost-X variant is an updated version of the Ghost UAS that provides improved flight performance, versatile payload carriage, and heightened resilience.

General Dynamics wins Air Force security services contract worth $4.5 billion after resolving protests General Dynamics Information Technology (GDIT) has secured all initial task orders on the Department of the Air Force’s Security Support Services indefinite-­ delivery/indefinite-quantity (ID/IQ) contract worth $4.5 billion, according to a GDIT statement. The financial details of the task orders are not available for public disclosure due to program sensitivities, the company said. Initially awarded in February 2021, the ID/IQ contract underwent multiple protests by a competing firm. In August 2023, however, the Air Force confirmed that all such protests had been resolved. The services include information security, personnel security, communications security, counterintelligence analysis, and cybersecurity assessments, according to the GDIT announcement of the award.

NASA names four small mission studies to protect satellites, communications NASA selected four small explorer missions to conduct concept studies – aimed at gathering information about the dynamics of the sun and related phenomena – with the intention of gaining insight into how the agency can better protect satellites, astronauts, and communications signals. According to the NASA announcement, the missions are known as the Cross-scale Investigation of Earth’s Magnetotail and Aurora (CINEMA) mission, which will work to understand the structure and evolution of Earth’s plasma sheet; the Chromospheric Magnetism Explorer (CMEx) mission, which intends to improve our understanding of how the magnetic field on the sun’s surface connects to the interplanetary magnetic field; the Extreme ultraviolet Coronal Mass Ejection and Coronal Connectivity Observatory (ECCCO), which will attempt to address fundamental questions about where the mass and energy flow linking the Sun to the outer corona and heliosphere originate; and the Magnetospheric Auroral Asymmetry Explorer (MAAX) mission, tasked with global imaging of northern and southern aurora.

L3Harris wins Army HMS radio contracts The U.S. Army has awarded L3Harris a set of production orders – totaling more than $247 million – under the Handheld, Manpack & Small Form Fit (HMS) program. Under the terms of the orders, L3Harris is tasked with delivering to the Army its AN/PRC-158 and AN/PRC-163 radios, which the company says leverages software-defined architectures and integrated cross-banding between waveforms; the radios also include key software-defined capabilities that can take advantage of satellite communications while users are on the move. The radio technology also enables critical airborne platform communications that enhance the connection between ground assets and aerial networks, part of the Army/industry initiative known as the Air-to-Ground Networking Radio. With such technology, the company notes, aircraft will be able to leverage a wide range of resilient waveforms, including SATURN and multiple mobile ad hoc networks, while simultaneously integrating voice and data communications, network routing, and gateway functions. www.militaryembedded.com

Figure 4 | L3Harris photo.

MILITARY EMBEDDED SYSTEMS

October 2023

13


SPECIAL REPORT

Leveraging RF and microwave tech for 5G applications

An Air Force UH-60 Blackhawk hovers above the airfield during a series of 5G avionics tests at Hill Air Force Base, Utah. A 5G mobile station was used during the testing as part of a demo showing that 5G technologies can be implemented without compromising the safety of military and civilian aircraft. U.S. Air Force photo by Cynthia Griggs.

5G on the front lines: Navigating the future of defense communications By Dan Taylor In an age where the boundaries of the physical battlefield and the digital realm are blurring, the 5G revolution promises to reconfigure military strategy on an unprecedented scale. Fifth-generation (5G) mobile network technology for the military is intended to improve intelligence, surveillance, and reconnaissance (ISR) systems and processing; enhance networking and security protocols; and streamline logistics operations among U.S. and allied forces. The use of 5G may herald incredible advancements like augmented reality for troops, expansive and immediate battlefield sensor networks, and drones autonomously scouring land, sea, and air.

14 October 2023

As the commercial 5G blueprint gets adapted into the complex web of defense needs, such elements as open architecture, technical challenges, adapting commercial technology to military use, and size, weight, and power (SWaP) requirements remain front and center for the industry. It’s clear, however, why the defense industry has placed such a premium on finding ways to implement 5G. The technology is a highly adaptable solution capable of providing the military a major boost in terms of data collection, says Leon Gross, corporate vice president of Microchip Technology’s discrete products business unit (Chandler, Arizona). “A new generation of … 5G communications solutions [is] ­substantially increasing how much information can be shared in support of real-time decision-making and other military applications,” he says. (Figure 1.)

MILITARY EMBEDDED SYSTEMS

www.militaryembedded.com


Figure 1 | This graph shows Microchip’s GaN on SiC [gallium nitride on silicon carbide] MMIC [monolithic microwave integrated circuit] power amplifiers’ ICP2840 linear gain across frequency and output power levels.

and strategy at CAES (Arlington, Virginia), points to the strategic leveraging of the expanded frequency bands provided by 5G and even emerging (but yet-to-bedefined) 6G technology. “The opened frequency bands ... is creating a larger ecosystem of devices and technologies that can be repurposed to create solutions to military objectives,” she says. While earlier 5G systems operating in sub-6 GHz bands were susceptible to high-power jamming signals, the advent of 5G mmWave [millimeter wave) systems – operating at 24 GHz and above frequencies – are poised to revolutionize battlefield communications, providing enhanced resilience against jamming, Gross says. These systems enable functions like “battlefield sensor networks for command-­and-control data gathering, and augmented-reality displays that enhance situational awareness for pilots and infantry soldiers,” Gross adds, noting that the broadening horizon of 5G extends its applications to “virtualreality solutions for remote vehicle operation in air (uncrewed aerial systems or UASs), land, and sea missions.” When it comes to the most promising military applications, Erin Kocourek, vice president for advanced technology www.militaryembedded.com

The primary aim, according to Kocourek, is to integrate this technology in a manner that surpasses the traditionally slow pace of the U.S. Department of Defense (DoD) acquisition and deployment process. The Internet of Things (IoT) empowered by 4G and 5G has immense potential when applied to military objectives, she says. “Leveraging this to port data into the systems at the warfighters’ fingertips and into AI [artificial intelligence]-driven systems has seemingly unlimited potential,” she asserts. Bill McKenney, marketing director at Analog Devices (Wilmington, Massachusetts), says that 5G for military use is being evaluated across all areas of DoD operations, adding that frequently commercial 5G technology is being used for military purposes. The advantage of using commercial 5G tech is its easy availability and the scope it offers, he says. “Currently, the DoD has 5G testbeds in 14 locations, evaluating 20-plus use cases,” McKenney says. “These include 5G augmented reality for medical training and telemedicine, ship-wide pier-to-pier connectivity, smart warehouses and logistics, and robust distributed command and control.” Specific operational needs While commercial 5G offers a blueprint, the military sector, with its specific operational needs, seeks a fusion of commercial and specialized capabilities. McKenney says the military is looking at three primary requirements that can be termed as “beyond commercial”: a secure trusted supply chain; preapproved secure bills of material; and operationally useful, value-added applications and enhancements.

MILITARY EMBEDDED SYSTEMS

October 2023

15


SPECIAL REPORT

Leveraging RF and microwave tech for 5G applications

Meghan Kelly, spokesperson for Times Microwave (Wallingford, Connecticut), says the physical properties of the technology must be considered as well. As applications undergo so-called densification, the size of the cable assembly is paramount. Given the military’s stringent demands, ruggedized cables with IP67 ratings [indicating that they’re “waterproof”] become a must, she says. (Figure 2.)

Cordova says there certainly is still room for 4G, especially in areas where 5G just isn’t necessary. The decision on when to upgrade is tied closely to the value provided to end users, he says.

Randy Cordova, CAES business development manager, says that the military’s newer operational demands bring unique sets of issues.

There will be instances where the legacy of a system – like the B-52, which has been operational since the 1950s – will dictate the pace of its upgrade.

“Battles used to be fought on land and sea,” he says. “Now, we are fighting battles on land, sea, air, space, and cyber.”

“Decisions will also be made based on the life cycle of a component or system,” Cordova says, indicating that systems nearing their end might not witness an upgrade.

This multidomain battlefront requires a seamless flow of colossal amounts of data. The military wants to harness 5G for ISR and autonomous vehicles for ­purposes including mapping and situational awareness. To meet these demands, Cordova says customers are now calling for designs that support Joint All Domain Command and Control (JADC2), host AI capabilities, and handle data with minimal latency, making 5G-enabled networks the military’s top choice.

“Putting a 5G sensor in an ordnance that does not require the capability would not bring value to the warfighter,” he says. “Everything will likely move to 5G, but not at the same time.”

Cost will be a big factor in that upgradeor-not decision, Kelly says: “Areas where 5G won’t likely be adapted are where it isn’t cost-beneficial to make that change,” she says. An added layer of complexity emerges when considering potential bandwidthfrequency conflicts with commercial 5G. Kelly suggests that the military must then make strategic decisions about “choosing bands that won’t conflict.”

Baljit Chandhoke, product manager for RF products at Microchip Technologies, says the move to 5G creates specific technical challenges that his company is working to solve. For example, “RF power amplifiers (PAs) need to deliver linear efficient high-output power,” he says.

All that being said, eventually the military is likely to fully lean into 5G, McKenney says. “The incremental flexibility of 5G offers expanded opportunities for targeted applications that can deliver important enhancements in a way that 4G can’t support,” he says.

As a solution to these multifaceted requirements, “GaN on SiC power amplifiers can operate at high frequencies in the Ka and Ku band from 12 GHz to 40 GHz for satellite communication and 5G, and have broad bandwidths and high gain with better thermal properties,” he adds.

Open architecture’s place As 5G rapidly transforms defense technology, how does the omnipresent question of open architecture fit in? McKenney says that the DoD will be keenly interested in keeping systems open as 5G is adopted. (Figure 3.)

When is 4G good enough? The introduction of 5G for military use prompts a critical question: Where does 4G still fit in?

16 October 2023

“5G Open RAN (open interfaces, not necessarily open source) is endorsed by DoD,” he says. “[Open architecture] provides flexibility to innovate more broadly, so a range of companies can deliver

MILITARY EMBEDDED SYSTEMS

Figure 2 | For higher-frequency applications of up to 30 GHz, Times Microwave offers MaxGain cables for high-power amplifiers.

Figure 3 | Analog Devices’ 8T8R RU design platform serves as a solution from the optical fronthaul to RF, which enables hardware and software customization for macro and small cell radio units.

applications … which enable innovative solutions for military applications.” The modular open systems approach (MOSA) framework has become critical to the point of “possible elimination of an award if there isn’t compliance,” Cordova notes. “MOSA acquisition strategy is driving requests for white papers, requests for information, and consequently requests for proposals,” he says. “An open system architecture for 5G drives standardization and increases opportunities for broader adoption. The open source philosophy also drives faster installation and integration, and future upgrades using a MOSA-driven approach would allow plug-and-play upgrades and maintenance.” Reduced SWaP and 5G The U.S. military these days is constantly focused on reducing SWaP requirements for systems, a move that has implications for the future of 5G. “In the aerospace and defense sector, some of the biggest growth opportunities are in satellite communications, as well as emerging 5G communications www.militaryembedded.com


Right now, the industry is trying to strike a balance between maintaining higher frequencies and meeting SWaP con-straints, Kelly says. “Maintaining the higher frequencies as SWaP requirements continue to get stricter for these smaller antennas is a delicate balance,” she says, adding that coaxial cables and assemblies become indispensable to “maintain reliable interconnects, especially in tight spaces and under harsh operating conditions.”

Figure 4 | Qorvo’s QPF4001 is a multifunction gallium nitride (GaN) MMIC front-end module targeted at 28 GHz phased-array 5G base stations and terminals.

Those same requirements are driving commercial 5G solutions as well, McKenney says. Analog Devices has used “innovative techniques” to cut power requirements; he says that by incorporating many programmable functions into their latest transceivers, they’ve managed to reduce energy hotspots that require larger heat sinks, a move that ultimately reduces size and weight. MES

solutions for both on-battlefield and off-battlefield applications,” Microchip’s Gross says. He highlights NASA’s pivotal role in fostering private-sector endeavors, crediting them with enabling the launch of thousands of low-Earth-orbit (LEO) satellites which are advancing a spectrum of services. “These RF applications consistently seek SWaP-C, or size, weight, power, and cost benefits," he adds. Dean White, senior director of defense and aerospace at Qorvo (Greensboro, North Carolina), says because the size and form factor for an RF device can impact its linearity and robustness, a larger device may be needed if linearity is a critical requirement. (Figure 4.) “If the device is exposed to harsh, rugged environments, there should be consideration for durable, reliable components and packaging,” he says.

Break Away

Push/Pull

Threaded

Next-Generation Circulars TriMate’s performance meets or exceeds legacy MIL-DTL-38999 connectors yet they are manufactured in a smaller, lighter, and easier-to-install connector package. TriMate is offered in three different forms to support different applications: Threaded, Push/Pull, & Break Away — all three plug styles mate with the same receptacle.

In some areas, the interaction between the DoD requirements and the 5G components hasn’t materialized yet. Kocourek says that CAES is “not seeing DoD requirements affecting the components for 5G – it is actually the other way around.” In fact, innovations from the commercial domain – specifically 5G – are fueling the DoD’s interest in SWaP-C reductions, she says. www.militaryembedded.com

a

i

r

b

o

r

n

.

c

MILITARY EMBEDDED SYSTEMS

o

m

October 2023

17


SPECIAL REPORT

Leveraging RF and microwave tech for 5G applications

The world’s largest aircraft carrier, USS Gerald R. Ford (CVN 78), and the Ticonderoga-class guided-missile cruiser USS Normandy (CG 60) steam in formation during summer of 2023. U.S. Navy photo/Mass Communication Specialist 2nd Class Jackson Adkins

Expanding the horizons of RF connector designs for harsh military environments By Cameron Foley-Molovinsky

In the realm of aerospace and defense, robust and reliable radio-frequency (RF) systems for mission-critical applications are critically important. They serve as the backbone for a range of vital technologies, including satellite communication, electronic warfare, intelligence, missile guidance, radar, hypersonic systems, and more. Meeting the high demands of these advanced technologies and ensuring they perform without fail in variable and extreme environments requires continuous evolution of hardware components and interconnect solutions. The effectiveness of aerospace and defense radio-frequency (RF) systems ultimately hinges on the integrity of the hardware components and how they come together. While some installations call for fairly standard products, others demand customdesigned solutions due to unique requirements. In the dynamic landscape of aerospace and defense, the need for high-performance connectors capable of withstanding harsh conditions, intense vibration, and temperature extremes while offering consistent performance is on the rise.

18 October 2023

MILITARY EMBEDDED SYSTEMS

However, legacy connector designs like SMP/SMPM [sub-miniature push-on/ sub-miniature push-on micro] connectors no longer fulfill evolving technology demands. Their susceptibility to electromagnetic interference (EMI) and electromagnetic compatibility (EMC), www.militaryembedded.com


A58_MilEmbSys_2_125x10.qxp_Layout 1 8/14/23

Embracing standards An example of the upshift in connectors is the emergence of smaller-sized, O-ring sealed connector solutions that outperform their SMP/SMPM predecessors in several aspects, enabling improved shielding, environmental protection, and mechanical retention. This class includes recently introduced locking miniature push-on and locking miniature blind mate connectors created to address EMI issues for applications that require an environmentally sealed and shielded connector. The locking miniature push-on is also ideal for highvibration environments such as a carrier landing, weapons launch, or similar harsh platform issues.

along with their lack of environmental sealing and potential to come apart upon an impact such as a hard landing, have spurred innovative RF interconnect designs to address these challenges more effectively. These advancements in connector designs are also powering a new class of modern aerospace and defense applications that consistently push the boundaries of power handling and performance. These new connector types, engineered for high peak power at altitude, are set to play a pivotal role in the next generation of military communication systems designed for harsh environments. These advanced technologies are ushering in a new era of consistently high performance in demanding, variable, and extremely harsh environments and the multifaceted connectivity RF interconnect designs required to handle them. www.militaryembedded.com

This modern class of connectors retains the small form factor of SMPs but adds improved shielding, environmental, and power capabilities, spanning frequencies from DC to 60 GHz. The mating part covers the connector’s slots to prevent signal leakage, which helps them to meet standards regarding performance issues from EMI and EMC interference as well as liquid and salt ingress. In addition, their sealed, rugged design equips them to better withstand harsh conditions and severe environments. At the same time, the overlapping insulators cut off a direct path to the ground from the center conductor to the outer shield, enabling higher-voltage functionality. In addition, the connectors also feature a latching mechanism to improve mating retention, making it a more suitable choice over options that use threaded bodies; a visual verification feature with red (unlocked) and green (locked) color coding assures connectors are fully mated and locked. Finally, the locking blind-mate version features an additional outer sleeve to provide fully protected tines for blind-mate applications. Mounting demand for connectors As military avionics applications continue to advance, the demand for new connector solutions for high-density, high-power applications in harsh environments also continues to grow. To meet these new demands, connector designs like locking miniature push-on

.18" ht.

Certified to AS9100D ISO 9001:2015

Size does matter!

SURFACE MOUNT AND PLUG IN

MILITARY/CRITICAL

APPLICATIONS

QPL UNITS STANDARD

TRANSFORMERS AND INDUCTORS • Audio Transformers • Pulse Transformers • DC-DC Converters • Transformers • MultiPlex Data Bus Transformers • Power & EMI Inductors

VISIT OUR EXCITING NEW WEBSITE with SEARCH WIZARD www.picoelectronics.com

800-431-1064 Electronics, Inc. 143 Sparks Ave. Pelham, N.Y. 10803

info@picoelectronics.com

www.picoelectronics.com

MILITARY EMBEDDED SYSTEMS

October 2023

19


SPECIAL REPORT

Leveraging RF and microwave tech for 5G applications

and blind mate connectors are evolving to accommodate higher continuous wave power and frequencies above 18 GHz. These new connector types are aimed at maintaining reliable communication systems in such applications as densely packaged signal intelligence, electronics intelligence, and electronic warfare (EW) systems operating in harsh environments. Connectors retain the overlapping design, environmental seal, and improved EMI performance but build on the family’s features to include new capabilities that enable them to handle high power at altitude. Moving beyond single interconnects, as the number of boxes and highly densified antennas on aircraft require more cables and contacts per square inch, the new connectors designs described above can be integrated into multiported versions that eliminate traditional coupling nut schemes, offering improved space utilization and operational efficiency. In this configuration, the same interfaces are mounted into male and female shells as bulkhead disconnects, enabling significantly higher densities on the interface. Navigating multifaceted connectivity challenges The combination of advanced signal processing and sensing capabilities along with integrated hardware enables critical insights to be obtained from extremely large data sets, empowering military aircraft with more accurate and comprehensive systems while enhancing functionality and safety. As this type of innovation accelerates, connectors must now be able to handle higher frequencies, faster data transmission speeds, and increased power demands in addition to the harsh operating environments of their predecessors. For example, current systems operate in the 23 to 60 GHz range and beyond, enabling faster target detection and more accurate tracking. These increasing frequency ranges also mean faster data transmission rates are needed to process incoming signals. Onboard processors filter and analyze this data quickly to detect threats and enable a fast response. Printed circuit boards (PCBs) play a crucial role in establishing quick connections between components or between PCBs themselves. Furthermore, as aircraft push the limits of speed and altitude, connectors must be able to handle higher power while maintaining a delicate balance between weight, size, and power transmission capabilities. For example, consider the radar processor box contained within a jet’s airframe. This box orchestrates a symphony of technologies, including phased array radar systems that use multiple small antennas to steer radar beams electronically. As boundaries are pushed even further in hypersonic flight, emerging connector designs equipped with materials like boron nitride dielectrics that can withstand extreme temperatures are being introduced. Finally, systems increasingly incorporate integrated antenna elements into the aircraft structure rather than large standalone antennas. This requires many small antennas and connections in a confined space. High-density connectors are aimed at these and other applications. (Figure 1.) Examples include the Times locking connector (TLC), built around a 40-mil line size design to handle higher transmit powers on an aircraft. This part leverages dielectric materials to enhance its thermal dissipation capabilities, improved by using Fluoroloy-H instead of Teflon; Fluoroloy-H dielectrics have eight times the thermal dissipation of Teflon and are specifically optimized for dissipating high CW power at any altitude. The TLC is aimed at use in applications up to 23 GHz. If the TLC connector design is too large for a specific system, the Times locking push-on connector (TLPC) can handle high power levels at a much smaller size. It has a 30-mil line size and comes in multiple versions, including a card edge and is meant to thread through

20 October 2023

MILITARY EMBEDDED SYSTEMS

Figure 1 | High-density connectors are able to handle antenna elements that are integrated into the aircraft structure rather than large standalone antennas.

the wall of a box or solder to the ground plane and the center pin or the trace on the board for applications requiring a frequency range of up to 32 GHz. Understanding the assignment RF suppliers who have deep engineering expertise and a trusted heritage of development in mission-critical industries are important when designing applications requiring the highest-performance connectors for the harshest environments. In addition, the company must understand not only the material technologies but the actual applications – the aircraft, environment, and physics involved. Because military avionics systems are highly complex and do not often use a standard solution, the RF supplier should be available for the technical team to ask questions to understand the application’s unique needs and design an optimized solution, ultimately arriving at better electrical, mechanical, and environmental performance. To ensure the best possible performance for demanding military avionics applications as expediently and cost-effectively as possible, consider the following when choosing the optimal RF interconnect supplier: › Qualifications and heritage: Many suppliers offer a good list of standard capabilities, but military avionics requirements are unique. Look for partners with a wealth of military and defense experience to help develop RF systems that can withstand the rigorous military avionics environment, deliver reliable performance, and adhere to stringent safety requirements. www.militaryembedded.com


As this type of innovation accelerates, connectors must now be able to handle higher frequencies, faster data transmission speeds, and increased power demands in addition to the harsh operating environments

power thresholds of modern applications while solving the EMI issues and environmental issues of the previous generation of RF connectors. These advanced technologies are ushering in a new era of consistently high performance in demanding, variable, and extremely harsh environments. MES Cameron Foley-Molovinsky is an applications engineer at Times Microwave Systems; he also has worked at Times Microwave Systems as a manufacturing engineer, engineering technician, and engineering intern. Cameron earned a degree from Arizona State University in electrical systems engineering, with a secondary focus in environmental resource management. Times Microwave Systems • https://timesmicrowave.com/

of their predecessors. › Dedicated technical experts: The supplier should understand the particular application’s electrical and mechanical trade-offs. › The breadth of products: To select the right materials, choose from multiple cable constructions, various connector designs (low power, high power, etc.), and assembly techniques from the same supplier. Many technical standards must be met for products deployed in harsh military environments, such as using only acceptable materials or military-spec constructions. There is, however, no standard for how to apply these materials to construct an RF solution that is reliable time after time. › Manufacturing execution: Ideally, an RF supplier has all the technology and products and understands how to assemble them into a final product. Moreover, the supplier’s manufacturing operations must be high-level: Cleanroom manufacturing capabilities are essential, while traceability is also vital in managing all the parts that make complicated assemblies, as is the ability to source reliably and on time. Ever-changing avionics needs To meet the ever-changing needs of military avionics systems, evolving connector designs offer significant footprint reductions in single-mount or multiported versions that support higher densities and www.militaryembedded.com

Leaders in Modular Open Standards enabling the Modern Warfighter

Get all the latest slot profiles aligned to SOSATM and CMOSS in a single backplane, plus VITA 46.11 chassis management, air or conduction cooled, integrated payload cards and much more.

With you at every stage! Elma Electronic Inc.

MILITARY EMBEDDED SYSTEMS

elma.com

October 2023

21


SPECIAL REPORT

GaAs and GaN MMICs: Key component of defense RF electronic systems By Dr. David F. Brown Monolithic microwave integrated circuits (MMICs) fabricated using compound semiconductors are a critical component of a multitude of RF [radio-frequency] systems. For commercial wireless applications, gallium arsenide (GaAs)based power amplifiers are ubiquitous in the smartphone market, while gallium nitride (GaN) products service wireless base stations, SATCOM terminals, and are a core enabler of 5G systems.

22 October 2023

Leveraging RF and microwave tech for 5G applications

Defense systems for radar, missile seekers, electronic warfare (EW), and communications depend on monolithic microwave integrated circuits (MMIC) products to obtain performance that is not achievable with silicon-based electronics alone. In order to achieve demanding performance requirements, the defense industry leverages advanced devices based on high electron mobility transistors (HEMTs). These devices offer the best combination of high-frequency operation, low noise, high linearity, and high output power density of any semiconductor technology. GaAs [gallium arsenide] HEMT products emerged in the 1980s and 1990s, and are now well established in RF systems, whereas GaN [gallium nitride] HEMTs are a newer technology whose use is rapidly expanding. Designers may want to consider GaN because the transistors produce approximately five times the power density than the incumbent GaAs devices. (Figure 1.) This aspect of GaN now means that solid-state power amplifiers (SSPAs) based on GaN MMICs are replacing legacy traveling wave tube amplifiers in many radar and EW systems. Both GaAs and GaN technologies are pushing towards advanced process nodes with higher operating frequencies and higher efficiencies in order to achieve better performance and enable dominance over a broader range of the RF spectrum. The BAE Systems Microelectronics Center (MEC) is a U.S. Department of Defense (DoD) trusted foundry located in Nashua, New Hampshire, dedicated to producing custom GaAs and GaN circuits. The 70,000-square-foot MEC facility

MILITARY EMBEDDED SYSTEMS

www.militaryembedded.com


includes the wafer foundry, on-wafer RF testing, wafer dicing, inspection, post processing, microwave module prototyping facility, and production factory for microwave power amplifier modules that use GaN MMICs. One unique aspect of the foundry is the 6-inch wafer line, which offers higher product yield and lower chip cost compared to the industry standard 4-inch wafer diameter. The MEC’s 6-inch wafer processing line has been running production GaAs processes since 2004, and BAE Systems’ first six-inch GaN MMIC was reported in 2014. In testing, 6-inch GaN wafer processes demonstrated high yield, and excellent performance and reliability, with an MTTF [mean time to failure] of more than 107 hours at 200 °C channel temperature. In progress at the MEC is a “Foundry Mod­ ernization Initiative,” a multiyear proj­ect to add wafer capacity to the facility, enabling it to keep pace with the growing demand within the DoD and industry for advanced RF MMIC components. The workhorse production GaN process today is a 180 nm “no field plate” technology that targets broadband EW applications up to 20 GHz. In 2018, the company signed a cooperative agreement with Air Force Research Laboratory (AFRL) to transfer an advanced, highperformance 140 nm GaN process developed by AFRL’s research fabrication facility to BAE’s production-focused foundry. This new process with shorter gate length and enahnced transistor performance targets applications at mm-wave frequencies up to 50 GHz. Through this “lab-to-fab” collaboration model, BAE Systems was successfully able to transition the process, and demonstrate state-of-the-art performance on the 6-inch production line. The 140 nm GaN technology – which will be fully qualified for production in 2023 – represents the DoD’s only 6-inch process at this advanced technology node. Even more advanced GaAs and GaN MMIC technologies are on the horizon: BAE Systems’ R&D organization, FAST Labs, acts as a trusted partner with government R&D organizations and continues www.militaryembedded.com

Figure 1 | GaN [gallium nitride] transistors produce approximately five times the power density compared with GaAs [gallium arsenide] devices.

to drive towards higher-performance process nodes. The next GaAs technology has a 70 nm gate length and targets low-noise applications up to 100 GHz where low noise figure, high linearity, and low DC power consumption are critical performance parameters. This technology is the shortest gate length GaAs pseudomorphic HEMT process on the market, and is an excellent alternative to InP [indium phosphide] HEMT technologies because of the low production cost on 6-inch wafer diameter. BAE Systems has already delivered prototype quantities of chips using this process, and it is scheduled to be fully qualified by 2024. The next GaN technology under development is a 90 nm HEMT process that leverages advanced process features including T-gates and low-resistance ohmic contacts fabricated using n+ GaN regrowth by molecular beam epitaxy (MBE). This process primarily targets power amplifier applications at W-band frequencies (75 to 110 GHz); W-band frequencies encompass a local minima in the atmospheric absorption spectra, so it’s expected that this portion of the electromagnetic spectrum will be exploited by radars, missile seekers, SAR [synthetic aperture radar] imaging, E-band radio, and 6G applications. The proliferation of RF components at this frequency band in the near term will drive the DoD to seek access to the best high-frequency GaN technology in order to maintain dominance over the electromagnetic spectrum. In addition to high-frequency power amplifiers, 90 nm GaN also is useful as an ultrahigh linearity mm wave LNA [low-noise amplifier] or within a monolithic, mm wave transceiver chip. MES Dr. David F. Brown is currently the product lead at BAE Systems Inc. for RF MMIC and solid-state amplifier technologies. BAE Systems https://www.baesystems.com/en/home

MILITARY EMBEDDED SYSTEMS

October 2023

23


MIL TECH TRENDS

Augmented-reality technology

Photo by Tech. Sgt. Jason van Mourik, Oregon Military Department Public Affairs/Oregon National Guard.

Operating in degraded visual environments By Richard Jaenicke Operating an aircraft in a degraded visual environment (DVE) is one of the most challenging and stressful tasks for a military pilot, particularly during landing. There are many causes of DVE conditions, including naturally occurring smoke, fog, smog, clouds, sand, dust, heavy rain, blowing snow, darkness, and flat light. Those conditions can happen in combination, and some of the most challenging DVE is induced by the aircraft itself, creating a brownout or whiteout from dust, sand, or snow. Mitigation solutions for the problem of operating aircraft in a DVE fall into a few broad categories: enhanced vision, synthetic vision, and a combination of the two. A solution to the problems encountered while operating an aircraft in a degraded visual environment (DVE) can be one of several applications in an integrated modular avionics (IMA) system. An IMA platform needs to provide robust partitioning to ensure that any hosted application has no unintended effect on any other hosted application. In a system with a multicore processor, robust

24 October 2023

partitioning is enabled by meeting the objectives of CAST-32A, including the mitigation of multicore interference. DVE: Dangers in flight The primary problem with DVE is the loss of visual references, such as the horizon, the ground, and any nearby obstacles. Situational awareness of terrain and obstacles is required for safe operations during all phases of flight. Loss of situational awareness en route can result in controlled flight into terrain (CFIT) or collision with man-made obstacles. Loss of situational awareness during

MILITARY EMBEDDED SYSTEMS

www.militaryembedded.com


Without significant DVE mitigation, flying in a degraded visual environment can often require multiple attempts to land before being able to observe sufficient landmarks and terrain details or aborting the mission after multiple failed attempts. The consequences of an aborted mission can be huge, particularly for search-and-rescue (SAR) missions. It is not just military aircraft that are greatly affected by DVE; DVE-induced spatial disorientation accidents are a leading cause of fatal accidents in civil helicopter aviation. Based on data from the FAA accident database, the civilian helicopter community experienced 130 fatal spatial-disorientation DVE accidents from 2000 to 20192. DVE flight scenarios Without significant DVE mitigation, flying in a degraded visual environment can often require multiple attempts to land before being able to observe sufficient landmarks and terrain details or aborting the mission after multiple failed attempts. The consequences of an aborted mission can be huge, particularly for search-and-rescue (SAR) missions. In a military setting, requiring multiple attempts in a hostile environment puts the rescuers in harm’s way for an extended time. Some examples of critical missions requiring flight in DVE include: › A combat SAR mission to rescue a pilot shot down deep in hostile territory › A casualty evacuation mission for a soldier or a firefighter through thick smoke and rotor-induced dust › A SAR mission to find and rescue the pilot and passengers of small aircraft from a snow-covered mountainous terrain with whiteout conditions landing can result in striking obstacles and hard landings. In a helicopter, the loss of visual reference during takeoff or landing can lead to undetected drift or bank of the helicopter or even create a visually induced sensation of self-motion called vection. Those effects significantly increase the risk of dynamic rollover and hard landing, often resulting in aircraft loss or damage and personnel injury or death. A degraded visual environment has been deemed the most dangerous condition encountered in Army aviation. From 2002 to 2020, 32% of Army Class A mishaps were caused by DVE1. (Class A mishaps involve fatality, total permanent disability, or property damage over $2 million.) While NATO was operating in the arid climates of Africa and the Middle East, rotary-wing brownout (RWB) was responsible for approximately 75% of coalition helicopter mishaps. www.militaryembedded.com

DVE mitigation solutions fall into a few broad categories: enhanced vision, synthetic vision, and a combination of the two. How the imagery is displayed also plays a role, whether on a heads-up display, helmet-mounted display, or heads-down display. Enhanced vision with sensors Mitigating DVE starts with sensors capable of penetrating the blinding environmental conditions. A system that provides real-time imagery of the external scene based on such sensors is called an “enhanced vision” system by the Federal Aviation Administration (FAA). Different types of sensors have different resolutions, obscurant penetration, and update frame rates. For example, infrared (IR) has a high frame rate but has limited obscurant penetration, while millimeter-wave radar penetrates very well but is lowresolution. Lidar has high resolution to detect obstacles and find a flat area to land but doesn’t penetrate obscurants very well, takes several scans to form a complete image, and has a shorter range than other technologies. Because no one sensor can handle all types of DVE, the most useful DVE solutions use a combination of sensors. The data from those disparate sensors needs to be fused to provide a real-time image of the external scene topography and obstacles. Sensor fusion in an enhanced vision system is computationally intensive and includes georegistering 3D data and scaling, translating, dewarping, and aligning the images. The resulting scene needs to be displayed with low latency, typically less than 100 ms from the time the images were acquired.

MILITARY EMBEDDED SYSTEMS

October 2023

25


MIL TECH TRENDS

Augmented-reality technology

When these systems are relied upon for critical stages of flight, such as takeoff and landing, the systems must meet safety-critical requirements, with the software foundation for such a system a safety-critical RTOS. Synthetic vision An alternative to enhanced vision is synthetic vision. Synthetic vision is a computergenerated image of the external scene topography relative to the aircraft that is derived from a database of terrain and obstacles coupled with aircraft attitude and a high-precision navigation solution, usually from a GPS. The computer-generated image is typically displayed as background on a primary flight display (PFD), with the PFD guidance symbology displayed on top. These databases can require a significant amount of memory, depending on the geographical coverage loaded. Additionally, military synthetic vision systems often combine a civilian terrain database with a more specialized military database. To accommodate such large databases, the operating system should support 64-bit memory addresses in order to access more than 4 GB. Compared to enhanced vision, synthetic vision does not provide a real-time view of the actual external scene, and it is only as accurate as the database and the GPS location. The database can have errors, and the GPS is subject to interference and jamming. On the plus side, synthetic vision has no limitation in range or field of view, which is a compelling reason to augment enhanced vision with synthetic vision. An example of a synthetic vision system is the SureSight SVS from CMC Electronics, a software application that can run on CMC’s multicore avionics solutions, including the MFD 3068 multicore smart display and the PU 3000 multicore avionics computer. Both of those avionics computers use a quad-core Power Architecture processor. The SureSight SVS runs on top of the INTEGRITY 178 tuMP RTOS and has been certified to FAA’s AC 20-167 and DO-315B. (Figure 1.) Enhanced flight vision system When flying in DVE, pilots have to use aircraft instruments and additional references to perceive motion relative to the Earth. Properly executing basic flight tasks in such a situation is even more difficult while already in a task-saturated mission profile. An enhanced flight vision system (EFVS) combines flight information, symbology, and navigation guidance of a PFD with the real-time images of the external scene from DVE sensors and presents them to the pilot on a heads-up display (HUD) or equivalent display, such as a helmet-mounted display (HMD) or head-worn display (HWD). This enables an EFVS-equipped aircraft to be eligible for FAA operational credit, which permits certified aircraft to descend below the published instrument approach minimums. Aircraft equipped with an EFVS Approach System can descend to 100 feet using enhanced vision, while those with an EFVS landing system can complete the descent, landing, and rollout using enhanced vision. One issue with the effectiveness of existing EFVS systems that rely solely on IR sensors is that airports are switching from incandescent lighting to LED lighting for the runway and approach lights. Current EFVS systems depend on the heat generated by the incandescent lights to optimize their performance. Newer EFVS systems combine IR with visible light cameras, millimeter-wave radar, or another sensor to resolve that issue. FAA Advisory Circular 20-167A requires an EFVS to have a design assurance level of DAL C (Hazardous) or higher, as defined by RTCA/DO-178C, depending on the specific cockpit integrations, failure conditions, and mitigations of those conditions. An EFVS may need to be designed to DAL B (Major) or higher if it is intended to facilitate landing and rollout in DVE.

26 October 2023

MILITARY EMBEDDED SYSTEMS

Figure 1 | Primary flight display (PFD) running the SureSight SVS (a synthetic vision solution from CMC Electronics).

Combined vision system A combined vision system (CVS) combines enhanced vision and synthetic vision in a single display, thereby taking advantage of the strengths of both. For example, enhanced vision shows the live view of objects on a runway, such as vehicles, wildlife, and other aircraft; while synthetic vision provides better spatial orientation and movement perception, along with enhanced terrain awareness. In a basic CVS, the top half of the display shows the synthetic vision and the bottom half the enhanced vision. More advanced CVS combines synthetic and enhanced imagery as overlays for a blended image covering the entire display. Deployed combined vision system example A prime example of a deployed combined vision system is the Degraded Visual Environment Pilotage System (DVEPS) developed by Sierra Nevada Corporation (SNC) under a contract awarded by the U.S. Special Operations Command. DVEPS was first installed on 15 Army HH-60M Black Hawk helicopters – the HH-60M is the medical evacuation (MEDEVAC) version of the UH-60M Black Hawk utility helicopter – in 2021. DVEPS is also installed on MH-60M and MH-47G, www.militaryembedded.com


which are Special Operations versions of the Black Hawk and Chinook helicopters. The primary goal of the DVEPS program was to enable landing and takeoff in DVE conditions induced by rotorcraft, namely brownout and whiteout. That is because three-quarters of the helicopter accidents in Iraq and Afghanistan have been attributed to brownout conditions, according to the U.S. Naval Aviation Center for Rotorcraft Advancement. Focusing on rotorcraft-induced DVE enabled DVEPS to create a solution with just two sensors, an IR camera, and lidar, instead of also requiring millimeterwave radar. DVEPS also uses synthetic vision based on digital terrain elevation data (DTED) and other more specialized military terrain databases, which are augmented by real-time 3D images from the lidar. (Figure 2.) SNC’s design of DVEPS is unique in the amount of real-time 3D and 2D sensor fusion performed and the multiresolution 3D database that enables the fusion. The DVEPS sensor fusion process has several steps to create the combined vision display for the pilot: 1. The initial 3D terrain database is loaded with DTED and is often augmented with specialized higher-resolution military data for the area around the target landing zone. 2. As the helicopter approaches the target landing area before there is any significant brownout or whiteout, DVEPS uses the onboard lidar to capture high-resolution terrain data of the area. Because the lidar data is real-time, it also captures any vehicles, other obstacles, or changes to the terrain. The lidar data is also more reliable than the preloaded database as it does not include any errors in the database or GPS positioning. 3. The lidar data is geo-registered and fused with the preloaded terrain databases. 4. The resulting 3D multiresolution world model is rendered into a 2D image of the terrain and updated as the helicopter descends. www.militaryembedded.com

Figure 2 | DVEPS sensors mounted on the nose of a Special Operations MH-60M left and right of the camera turret. Photo: U.S. Army United States Special Operations Command (SOCOM).

5. The real-time imagery of the IR camera is fused with the terrain rendering to form a combined real-time image of the landing zone. The IR imagery typically has enough image contrast to differentiate between gravel, grass, dirt, and pavement, which would not be differentiated in the lidar data if they all have the same height. 6. Symbology is overlaid on the image to provide the appropriate cues for the pilot. The resulting real-time view of the landing zone is presented on a cockpit display. As the helicopter descent creates the brownout condition, DVEPS continues to use the 3D lidar data gathered earlier while refreshing with real-time IR imagery. The software foundation for DVEPS is the INTEGRITY-178 tuMP RTOS running on a quad-core Intel Core i7 processor. DVE solutions require safety-critical operation running concurrently on multiple cores to achieve the necessary real-time performance. Robust partitioning is a prerequisite for a full implementation of integrated modular avionics (IMA) or being able to reuse an application component without complete retesting and reverification of the entire system. MES Notes 1 2

Flightfax, Issue 108, May 2022 Recommended Practice: Spatial Disorientation induced by DVE (H-SE 127A), United States Helicopter Safety Team, 9 December 2020

Richard Jaenicke is the director of marketing for safety- and security-critical products at Green Hills Software and has over 25 years of experience working with embedded software and systems. Prior to joining Green Hills, he was at Mercury Systems, where he was responsible for marketing avionics software and hardware solutions as well as signal-processing systems. Rich holds an MS in computer systems engineering from Rensselaer Polytechnic Institute and a BA in computer science from Dartmouth College. Readers may email him at richj@ghs.com. Green Hills Software • https://www.ghs.com/

MILITARY EMBEDDED SYSTEMS

October 2023

27


MIL TECH TRENDS

Augmented-reality technology

Addressing the challenges of military training simulation By Rusmat Ahmed For decades, the military has used simulations to train pilots, ground troops, armor, artillery, and more. Since the early 2000s, virtual simulation has become an integral part of modern training, revolutionizing the way militaries prepare for real-world scenarios. Back then, the phrase “Serious Games” was coined, reflecting virtual simulation’s technology roots in commercial video games. Nowadays, in major Western and allied nations, virtual simulation plays a crucial role in everyday military training. The need for this technology is more current than ever as the style of warfare you need to train for is evolving ever faster; compare the way militaries trained for conflicts in Afghanistan compared to the allied response to the invasion of Ukraine. Training methods must adapt quickly and cost-effectively to ensure the effectiveness, readiness and safety of military personnel. Great simulation software and platforms empower military commanders to easily pivot and address their troops’ immediate training requirements.

› Offers greater efficiency with military personnel able to participate in training more frequently, and thus progress more quickly in a shorter time. (Often called “sets and reps.”) › Guides military personnel to understand human behavior, gain insight into their own behavior, and improve teamwork. › Teaches military personnel to handle high-stress situations and improves missioncritical skills including effective communication and essential combat techniques. › Offers instruction and practice in hostile environments like sniper and suicide bomber attacks. › Guides soldiers to understand human behavior and “patterns of life.” Enables insight into their own behavior and improves teamwork; teams often learn the most from a post-training review. › Offers instruction and practice in hostile environments, which are difficult to replicate with simple infrastructure.

The many other reasons major military forces use virtual simulation include that it:

Simulation platforms are highly cost-effective relative to live training. Of course, there will always be a need for an irreducible level of live training, but synthetics can enable entities to host a large-scale military exercise virtually at a fraction of the cost, more securely, and with a reduced environmental impact. (One joint military exercise between the U.S. and South Korea, for example, would have cost $14 million.1)

› Facilitates practice and training in a safe, secure, immersive environment.

Training using simulation enables military forces to get the training they need while operational assets remain on the frontline. Simulation systems’ “virtual” track miles are unlimited, maintenance-free, and have a very low environmental impact. (Figure 1.)

28 October 2023

MILITARY EMBEDDED SYSTEMS

www.militaryembedded.com


capability to support drone operations. Changing (and uncontrollable) weather conditions are difficult to anticipate and can interfere with scheduled training. Done right, simulation can assist here by being blended into the live training. For example, soldiers on the ground can call in a virtual airstrike, even in bad weather, and watch the impact of the (virtual) bomb strike through customized optical devices.

Figure 1 | The U.K. Royal Navy uses virtual training solutions to train operators to use equipment in the operations rooms of its new and legacy warships. Image courtesy U.K. Royal Navy.

Unlike conventional one-size-fits-all basic training courses, virtual simulations can be readily customized to ensure readiness for a particular operational or training need. Simulation platforms equipped with the right technology enable instructors to modify challenges based on the trainees’ experience levels and performance. Artificial intelligence (AI)-powered technology enables instructors to change scenarios, match terrain, civilian environments, battlefields, and weather conditions exactly to meet the operational need, and plan and work out counterattacks and defenses during ongoing conflicts. With the right technology, groups in different militaries and locations can train together, and the entire platform scales to accommodate larger groups located in different areas of the world. AI can also measure your battle effectiveness, provide objective measures of professional competence, and determine whether units and individuals have the necessary skills. Getting maximum benefit from virtual simulation Live training poses its own challenges. Few military training areas are large enough to accommodate very large numbers of personnel, the latest weapon ranges, or have the www.militaryembedded.com

However, even modern digital simulation systems often operate in separate, disconnected environments, leading to limited training scenarios and higher costs due to little design for reuse. Worse, most simulators lack the ability to combine training among the disparate military branches even though different branches regularly partner to conduct real-life missions. Militaries are still working to solve challenges that represent obstacles to getting maximum value from virtual simulation technologies: 1. Fragmented training environments There exists a lack of interoperability between different simulation systems, which impedes users’ ability to transition between different scenarios seamlessly. When different branches use disparate systems, it becomes harder to coordinate joint operations and train jointly with air, land, sea, space, and cyber forces.

MILITARY EMBEDDED SYSTEMS

October 2023

29


MIL TECH TRENDS 2. Challenges of generating terrain for simulation All terrains are not created equal. And finding terrain similar to the actual mission location isn’t always possible. Simulation platforms can create appropriate terrain and build multiple terrains for multiple runtimes – but only if the source data is accurate and readily available. Managing terrain distribution and necessary updates is time-­ consuming and complex. Additionally, achieving runtime with dynamic terrain modification across multiple platforms is no small feat. Synchronizing terrain data is a whole topic by itself. State-of-the-art terrain management tools enable faster synchronization and stronger collaboration. 3. Limited scalability and flexibility Traditional simulation programs and platforms are limited in the number of trainees they can accommodate. Scaling training program capacity is logistically complex and expensive, as is storing, editing, or synchronizing data between each training session. Cumbersome simulation programs make it difficult to easily adapt training scenarios to address evolving threats and changing operational needs. 4. Storing and editing data Modern simulated mission rehearsals sometimes involve 200 trainees or more. These large-scale exercises require large, bulky, and expensive hardware and backend systems. The U.S. Army, for example, has 76 Battle Simulation Centers across the globe. These warehouse-sized “battle labs” support hundreds of first-person shooter players at a time via desktop PCs. In addition, many centers house large-scale, augmented tank and aircraft simulators. To use these complex facilities to their full potential, simple data storage, software management, and data editing and curation must be more convenient for the centers’ teams of administrators. 5. High costs and resource requirements The financial and administrative burden of maintaining and upgrading multiple simulation systems is high. These tasks make excessive demands on the training staff, and facilities in high use have very limited access time to undertake major maintenance and upgrades. Introducing Project OdySSEy BAE Systems’ Project OdySSEy is an example where great strides have been taken to address some of the shortcomings of the military’s use of virtual simulation by integrating game-changing technology and operational know-how from leading providers. Project OdySSEy is a single synthetic environment (SSE) for collective military training developed by BAE Systems in collaboration with nine other companies, including Pitch, D3A Defence, Pauley, Hadean, Inzpire, VRAI, Plexsys, and Bohemia Interactive Simulations (BISim). This SSE enables air, land, sea, space and cyber forces to plug in and train together. The project unifies diverse leading technology providers and subject matter experts (SMEs). It integrates BAE Systems’ Typhoon fast jet simulators with a Joint Terminal Attack Controller (JTAC) simulator provided by D3A Defence, leverages Hadean’s cloud technology for scalability, and harnesses VRAI’s data analytics. BISim’s VBS4 simulation platform ties it all together by functioning as the controller and integrating and collaborating with the technology the other companies provided. Use cases Project OdySSEy has multiple use cases, including Joint All Domain Operations battle scenario run-throughs.

30 October 2023

MILITARY EMBEDDED SYSTEMS

Augmented-reality technology Here’s a hypothetical scenario: Several Marine and Air Force units need to rehearse for a mission and are relying on the simulation to test tactics. A ground platoon will require air support, so both ground and air troops will need the same “out of window” view and the same communication method. The JTAC links the ground and air units and also must “see” the same environmental experience. Special Forces and Combat Search and Rescue assets are in the mix. With less than 24-hour old satellite intelligence, the simulation mission terrain is highly accurate, as are the simulated enemy forces. In effective training software, all components, such as various devices, platforms, and functionalities, must work together without days, or even hours, of technical preparation. This can be a barrier when there are differences in simulation technology and hardware between organizations. However, with collaboration like that exemplified by Project OdySSEy, these challenges are being resolved, helping teams deliver the true interoperability required by all military branches. In our hypothetical simulation scenario above, Marine, Air Force, and JTAC personnel benefit from metaverse-level interoperability with platforms and devices using the same data sources and seeing the same picture, regardless of their training locations or the equipment and technology they’re using. This level of compatibility highlights the potential of Project OdySSEy to address militaries’ interoperability needs. Challenges addressed by Project OdySSEy Large-scale military training: Traditional real-world military training exercises are costly and logistically challenging when done at scale or across different locations. Project OdySSEy addresses this challenge by providing a metaverse for large-scale virtual military training. It enables hundreds or even thousands of soldiers, sailors, and airmen to train together on one ready-to-go platform, significantly reducing the financial and technical burdens associated with large scale, complex exercises. www.militaryembedded.com


Multi-domain training: Coordinating training across all the military domains (air, land, sea, space, and cyber) can be complex. Project OdySSEy simplifies this by supporting multi-domain training on one platform, enabling military units from different branches and specialties to collaborate seamlessly. This enhances coordination and the overall effectiveness of joint training scenarios. Realistic terrain simulation: Replicating diverse geographical terrains at highfidelity from all types of specialist data sources for mission training purposes is a significant challenge. Project OdySSEy overcomes this through BISim’s Mantle ETM technology. Mantle ETM technologies enable dynamic terrain modifications for all system users (e.g., artillery and bomb craters, destroyed buildings), further enhancing the realism of training and mission rehearsal.

Rusmat Ahmed is the SVP of Sales, EMEA, at Bohemia Interactive Simulations. As Project Director and Business Unit Director, he focuses on leading, winning, and delivering large contracts. He has extensive experience in complex contract negotiation including financing and TUPE [Transfer of Undertakings (Protection of Employment) in the U.K.] and has experience leading and building teams in multicompany environments. Notes 1

h ttps://www.wsj.com/articles/pentagon-puts-a-price-tag-on-military-exercises-1531310400

Bohemia Interactive Simulations (BISim) • https://bisimulations.com/

Ruggedized x410 NI® SDRs for Maximum Performance!

Advanced visualizations: Effective training relies on realistic battlefield visuals and shared situational awareness. Project OdySSEy integrates cutting-edge visualization technology from BISim, including 3D digital displays. Such technology enhances the training experience, enabling immersive and highly detailed battlefield visuals at different levels of command and control. Addressing tomorrow’s training needs today In tackling these complex challenges, Project OdySSEy’s true strength lies in the collaborative efforts of various technologies and SMEs, integrated by BAE Systems’ pedigree in delivering military training to global forces over decades. By bringing together experts in simulation, supercomputing, data analytics, and augmented and virtual reality, Project OdySSEy is creating an SSE, enabling air, land, sea, space, and cyber forces to plug in and train together. Collaboration and fostering interoperability among diverse technologies, such as those contributing to Project OdySSEy, will continue to be a priority for military simulation companies committed to realizing the full, huge potential virtual simulation offers for military training and mission rehearsal. MES www.militaryembedded.com

 RX410  RX310  RB210  RN310  Custom

pixustechnologies.com MILITARY EMBEDDED SYSTEMS

October 2023

31


INDUSTRY SPOTLIGHT

SOSA’s impact on electronic warfare designs By John McHale Open architecture approaches like the Sensor Open Systems Architecture (SOSA) Technical Standard are changing the way defense electronics designers build tomorrow’s military platforms. SOSA’s impact is felt within the electronic warfare (EW) community as requirements for the products based on the standard become more prevalent riding the modular open systems approach (MOSA) wave within the DoD.

32 October 2023

SOSA Technical Standard 1.0: Impact on EW designs

Two years ago, the Sensor Open Systems Architecture (SOSA) Technical Standard revision 1.0 was ratified. Yet its impact on electronic warfare (EW) designs began before that, even before they came up with the official acronym. EW systems were in large part based on closed architectures rather than open, partially due to the nature of the operational purposes, but also due to the business model of the integrators and prime contractors. While past EW systems leveraged commercial off-the-shelf (COTS) products based on open standards like OpenVPX, they were not what could be described as interoperable. But a push began to embrace more open architecture designs in other military applications, and the results – faster deployment of technology and lower life cycle costs – were found quite attractive by the end user: the U.S. Department of Defense (DoD). This sparked enthusiasm within DoD circles that led to the formation of the SOSA Consortium and eventually the modular open systems approach (MOSA) mandate from DoD leadership to use open architectures in all new programs and upgrades. The primes and integrators followed suit, as their customers were then pushing for MOSA.

MILITARY EMBEDDED SYSTEMS

www.militaryembedded.com


within the consortia to craft specifications – largely adopting existing standards like OpenVPX – to get to the release of SOSA 1.0 and see SOSA alignment end up in EW system requirements from the DoD. Benefits of that push are today being realized in those designs. “EW is one of the primary sensor modalities of SOSA,” says Mark Littlefield, Sr. Manager, Embedded Computing Solutions, Elma Electronic (Fremont, California). “As a result, it has been a part of the decision-making for the SOSA Technical Standard since day one. Many of the port and signal definitions of both the VPX and VNX+ slot profiles were chosen to address the needs of EW, as has the SOSA module architecture. “We are already well into the era of multimode sensors, where a sensor may be called upon to do different types of radar, act as a communications device, or to perform electronic warfare or SIGINT [signals-intelligence] functions. Having a common architecture that supports all of these functions means that integration of different functions is much easier, and common functional modules can be shared among the functions.” Size is an important factor within EW designs, though in the past it was often cited as a reason not to use open architectures. That may not be as much of an issue anymore. “EW systems are often physically smaller than other types of sensor systems and must be tucked away in awkward and sometimes space-constrained physical locations; this is especially true of aircraft,” Littlefield adds. “SOSA’s inclusion of VNX+ as a fully SOSA aligned small-form-factor plug-in card means that integrators have a COTS option even when the system is physically too small for even 3U VPX.” Commonality from the cards and modules as well as separation of elements in the system are additional benefits.

Makers of those systems that were to be aligned with and conformant to the SOSA Technical Standard moved quickly

“The common Plug-In Card Profiles allow for rapid insertion of new capabilities into existing processing infrastructure as they become available. This [enables] the system to maintain the pace of innovation at the silicon level, ultimately resulting in outpacing adversaries,” says Jake Braegelmann, Vice President of Business Development at New Wave Design and Verification (New Wave DV – Minneapolis, Minnesota). “Another benefit of the standardization brought by the SOSA Technical Standard is the separation of front-end system elements from processing elements, and processing elements separated from algorithms. “There will certainly still be companies that do all three elements well: RF front ends, digital processing hardware, and algorithms,” he continues. “However, the SOSA Technical Standard, along with other MOSA elements, allow for innovation in one area by a market participant without that innovator also being required to provide the other elements. This is beneficial for the whole ecosystem and ultimately the warfighter.” (Figure 1.) The technical commonality enables MOSA strategies like SOSA to succeed. One of the success metrics lies in how quickly technology can be delivered to the warfighter.

Figure 1 | New Wave DV offers the V6061 3U VPX Versal ASoC FPGA + Ethernet offload optical I/O module. www.militaryembedded.com

“The primary benefit is it accelerates new technology insertion in response to: rapidly evolving threats over time and next-generation silicon that offers higher performance, higher integration, and power optimization,” says Dinesh Jain, FPGA Product Manager for Abaco Systems (Huntsville, Alabama). “The modular system design approach enables different parts of the sensor processing chain to be upgraded without having to redesign the entire system, [thereby] accelerating field deployment.”

MILITARY EMBEDDED SYSTEMS

October 2023

33


INDUSTRY SPOTLIGHT

SOSA Technical Standard 1.0: Impact on EW designs

Jain says other advantages include: › Quicker time-to-market as suppliers are able to invest in new technology development without waiting for program requirements because slot profiles are well-defined, which reduces the lead time for integrators to implement technology upgrades using latest-generation products. › New functionality that was previously unavailable, such as AI/ML [artificial intelligence/machine learning] at the edge. › Longer EW program life cycle because a common chassis and standards-based pin-compatibility simplifies future technology upgrades. › Supplier independence: Integrators have more choices and can select the best fit for the application, since everyone is building to the same standards.

Figure 2 | Aitech provides the SOSA aligned U-C8500 Tiger Lake-based 3U VPX single-board computer.

SOSA also creates sensor fusion through its sensor processing chain. “The SOSA Technical Standard provides the ability to create a high-performance heterogeneous sensor processing system that provisions for many sources of data input/ output and mediums of data – optical, RF, electrical, networked/point-to-point – in standard profiles,” Braegelmann says. “This creates an ideal sensor fusion processor with the ability to upgrade processing capability rapidly over time and leverage standards-based interfaces to the various data sources/syncs, providing for innovation at the different system elements independently.” Requiring SOSA A clear sign that SOSA momentum is increasing is when SOSA becomes common in requirements for new DoD EW programs and upgrades. “We see SOSA aligned products requirements in the specifications for most of the new programs,” says Emil Kheyfets, Director, Mil-Aero Business Development, Aitech Systems (Chatsworth, California). “For many programs, SOSA requirements are no longer a ‘good to have’ option, but rather a required element.” (Figure 2.) The leap in requirements is prevalent in EW applications. “We are actually seeing a jump in overall demand within the EW space,” Elma’s Littlefield says. “EW is often a more dynamic sensor environment than other sensors because integrators are having to adapt to evolving threats. SOSA is making that job much easier. As a result, the demands for SOSA based products is growing.” The demand for SOSA is not limited to a single product, either: “We are seeing demand for development hardware, chassis and backplanes, switches, deployment backplanes, and single board computers,” says Ken Grob, Director of Embedded Computing Architectures, Elma Electronic. (Figure 3.) At Abaco “[we see requirements] for most new programs of record where 3U and 6U systems are specified,” Jain says. He notes, however, that he is not seeing requirements for “existing programs that are upgrading their pre-SOSA platforms, or for non-3U/6U VPX designs such as small form factor (SFF) where a SOSA specification has not yet been ratified.” Misconceptions dispelled While SOSA content is becoming more prevalent in EW program requirements, there remain misconceptions about what SOSA is and how it will affect designs and the pace of business. “The biggest misconception about SOSA within [EW] design cycles is the applicability of the various section of the SOSA standard to a specific system design,” Kheyfets

34 October 2023

MILITARY EMBEDDED SYSTEMS

Figure 3 | Elma’s ATR-3600S is an offthe-shelf half-ATR specifically designed for deployable applications requiring alignment with the SOSA Technical Standard.

says. “For example, if SOSA standardized systems are an overkill for the target design, SOSA aligned modules can still be used in the smaller application-specific system to reduce cost and complexity, while providing other MOSA/SOSA benefits.” Littlefield says the biggest misconceptions in the EW community are, for the most part, the same as in all sensor communities, such as: › Industry standards are too bulky and laden with overheads to be useful › They don’t contribute much to better time-to-deployment › SOSA is a U.S.-only thing, and of no value to organizations or programs outside of the U.S. “All of these we are repeatedly showing as not being the case, and communitywide it’s becoming clear that SOSA works,” he notes. “EW does have the added challenges of extremely low latencies in the tasks that an EW system must perform. SOSA has had to go to some lengths to address this, [and] in www.militaryembedded.com


to the sensor for low-latency processing and by the standardization of slot profiles limiting available thin pipe/fat pipe I/O, which may not be enough to process and transmit large datasets for processing. These issues are being addressed, however.

Figure 4 | One Abaco SOSA aligned VP461 can replace as many as five standard payload VPX cards.

fact, still has some work to do. However, those lingering issues will be addressed in time.” Despite its openness, some industry folks believe that SOSA constrains designs. A common misunderstanding about SOSA is that it “constrains optimal designs – [that] standardization could be seen as limiting when architecting an optimal EW platform,” says Michael Wurts, FPGA & GPU Product Specialist at Abaco Systems. This angle manifests itself in two ways: placing the SOSA platform as close

“The SOSA consortium is working on small-form-factor standardization such as VNX for space-constrained requirements, including placement in proximity to the sensor for low-latency EW processing,” Wurts continues. “The first SOSA aligned design can be a bit challenging due to having to design to the standard, but the investment is worth the benefits for subsequent designs. Because of defined standards, suppliers are proactively implementing creative solutions for higher performance on SOSA platforms [instead of waiting] on a program opportunity.” (Figure 4.) Where is the edge? The phrase “edge” is often used to describe sensor designs, but opinions vary where the edge actually resides. “I don’t know if I would say it is a misconception necessarily, but there is some debate within EW designs – and all new sensor designs in general – about where the ‘edge’ is located,” Braegelmann says. “Is the edge within a SOSA aligned processor box, or does the push to the edge necessitate moving processing all the way into the sensor aperture itself when possible? “If the edge is in the aperture itself, can the solution still be SOSA aligned? And if you move all the way to the aperture, can you still accomplish sensor fusion by aggregating the other sources of intelligence such as radar and EO/IR; or is meaningful data removed at the edge, and it is no longer available for sensor fusion?” MES

AS 9100D / ISO 9001:2015 CERTIFIED

PHALANX II: THE ULTIMATE NAS

THE

Supports AES-256 and FIPS140-2 encryption

The McHale Report, by mil-embedded.com Editorial Director John McHale, covers technology and procurement trends in the defense electronics community.

Utilizing two removable SSDs, the Phalanx II is a rugged Small Form Factor (SSF) Network Attached Storage (NAS) file server designed for manned and unmanned airborne, undersea and ground mobile applications. w w w . p h e n x i n t . c o m

ARCHIVED MCHALE REPORTS AVAILABLE AT:

https://militaryembedded.com/newsletters/the-mchale-report www.militaryembedded.com

MILITARY EMBEDDED SYSTEMS

PHX_OSP_3.375_4.875.indd 1

October 20231/22/18 35 11:36 AM


INDUSTRY SPOTLIGHT

SOSA Technical Standard 1.0: Impact on EW designs

Direct RF: The transformation of critical defense systems By Rodger Hosking

Widespread exploitation of the radio frequency (RF) spectrum profoundly impacts all consumer, commercial, industrial, government, and military markets across the globe. Since defense system counterparts often need hundreds or thousands of antenna elements, they can benefit from the technology and components developed for 5G commercial markets. Because the most critical imperative for government defense organizations is continuous enhancement of electromagnetic spectrum domination, an area of improvement must be enhancing how radio frequency signals of interest are acquired, analyzed, and then exploited through sophisticated signal-processing techniques to deliver an effective response. Such a mandate inspires new defense and electronic warfare (EW) technologies and architectures that boost performance levels across each system.

Judiciously coupling new wideband direct radio frequency (RF) signal data converters with the latest FPGA [fieldprogrammable gate array] devices affords significant critical system performance advantages over previous architectures [for applications such as electronic warfare (EW), radar, and military communications]. Using advanced silicon processes and packaging technologies, device offerings include both discrete monolithic designs and multi-chip modules. Even so, the fire

36 October 2023

hose of digitized data samples from these data converters can overwhelm the signal processing resources of even the most powerful FPGAs. To address this issue, key DSP [digital signal processor] resources like digital downconverters are usually incorporated within the direct RF converters. What are some of the new open architecture solutions that incorporate the newer signal acquisition and signal processing resources? Direct RF for software radio When the concept of software radio first emerged about 50 years ago, radio engineers immediately recognized its possibilities, even though the performance of existing hardware was limited by two essential elements: the data converter (sampling rate, analog signal bandwidth, and sample accuracy), and the attached DSP system (computational speed, complexity, and accuracy).

MILITARY EMBEDDED SYSTEMS

www.militaryembedded.com


Because most RF input signal frequencies far exceeded the capabilities of early analog-to-digital converters (ADCs), such software radios required an RF tuner stage to translate RF signals to lower IF frequencies before they could be digitized. This was often implemented as a traditional heterodyne receiver stage like the one shown at the top of Figure 1. With ADCs fast enough to digitize RF signal frequencies directly, the RF tuner section shown can be eliminated, resulting in the direct RF receiver shown at the bottom. Without the mixer, local oscillator, intermediate frequency filters, amplifier, and numerous discrete analog components, the RF signal chain is far less complex, bulky, and expensive. Driven by these many benefits for commercial, industrial, and defense markets, performance levels of discrete monolithic direct RF ADCs and digital-toanalog converters (DACs) have steadily advanced, as illustrated in Figure 2.

Figure 1 | Heterodyne receiver (top) requires a complex, bulky RF tuner stage with mixer, local oscillator, IF filters, and IF amp, all eliminated in the direct RF sampling receiver shown below to reduce size, weight, and power (SWaP) and cost per channel, while improving synchronization and system reliability.

Because maximum RF signal bandwidths are limited to half the sample rate, the 64 GS/sec ADC shown at the right in the figure can digitize signal bandwidths approaching 32 GHz, covering a vast range of vital military radio applications. Benefits of direct RF architectures Phased-array radio systems utilize antennas consisting of multiple elements arranged in linear or planar arrays. Directionality of transmit and receive signal beams is achieved by precisely shifting the relative phase of signals using a dedicated signal processing channel for each element. This setup – which enables a single antenna array to simultaneously track multiple targets in different locations using the same frequency for far more efficient coverage – is widely exploited in defense applications as well as commercial mobile phone systems. The enormous general market for 5G wireless networks means widespread installation of local, massiveMIMO [multiple-input/multiple-output] phased-array antennas, each typically needing 64 transmit/receive elements. www.militaryembedded.com

Figure 2 | Maximum ADC sample rates have doubled approximately every 21 months during the last 15 years.

Since defense system counterparts often need hundreds or thousands of antenna elements, they can benefit from the technology and components developed for 5G commercial markets. Because each element needs its own unique transmit and receive signal, direct RF ADCs and DACs significantly reduce SWaP and system cost of phased-array systems by eliminating the RF frequency translation stages in each signal channel. This dramatically shrinks the size of the electronics housing so it can often fit directly behind the antenna, a major trend in new system architectures. Direct RF greatly improves the precise channel synchronization between antenna elements by eliminating most discrete analog RF tuner signal components, all subject to component tolerances, aging, temperature drift, reliability, and periodic maintenance. Direct RF data converters Most advanced direct RF data converters with sampling rates above 10 GS/sec are available as discrete packaged devices or as silicon die known as “chiplets,” suitable for attaching directly to other die in a multichip module.

MILITARY EMBEDDED SYSTEMS

October 2023

37


INDUSTRY SPOTLIGHT

SOSA Technical Standard 1.0: Impact on EW designs

In June 2023, Analog Devices announced its Apollo MxFE [mixed-signal front end] family of direct RF ADCs and DACs. A member of this series is the AD9084 featuring four 20 GS/sec 12-bit ADCs and four 28 GS/sec 16-bit DACs using monolithic 16 nm CMOS technology. With direct RF signal sampling capable of handling signal frequencies up to 18 GHz, the Apollo series now opens up new architectures for many critical Ku band aerospace and defense applications including radar, EW, and communications. Apollo’s on-chip DSP functions include configurable two-stage digital upconverters (DUCs) and digital downconverters (DDCs) for adapting to a wide range of arbitrary RF target bandwidths during deployment. These functions not only reduce data streaming rates to the FPGA, but also eases DSP task loading. Fully synchronous channel operation across multiple Apollo devices supports the growing trend towards large phasedarray systems. Another vendor, Jariet Technologies, has an Electra-MA direct RF data converter chip with two 64 GS/sec 10-bit ADCs and two 64 GS/sec 10-bit DACs. The device also includes on-board DUCs and DDCs, as well as programmable sub-band channelizers for efficiently handling narrowband signals. With a usable analog bandwidth up to 32 GHz for both transceiver channels, the Electra-MA supports Ka-band applications that are becoming increasingly critical for defense systems. FPGAs for direct RF AMD’s Versal ACAP [Adaptive Compute Acceleration Platform)] devices based on its 7-nm silicon process consists of a series of six SoC [system-on-chip] architectures, each with specific blends of different processing engines, high bandwidth memory, and powerful peripherals. (Figure 3.) The scalar engines include the dual core Arm Cortex-A72 application processor and the dual-core Arm Cortex-R5 real time processor. Unlike most scalar processors that implement single instruction, single data structures, these ARM processors provide single instruction, multiple data (SIMD) operations. The adaptable engines use programmable logic FPGA fabric plus various types of memory, including block RAM, UltraRAM, and accelerator RAM. Configurable logic in FPGAs is the right platform for real-time state machines, control logic, complex timing, Ethernet packet processing, and synchronization, all essential functions for many embedded defense systems. Versal offers two types of intelligent engines: The DSP engines are specialized, highly efficient real-time signal processing blocks that include fixed- and floating-point multipliers, accumulators, arithmetic units, data multiplexers, and barrel shifters for

both scalar and vector data types. With more than 14,000 DSP engines in the largest Versal devices, highly parallelized processing architectures can process real-time data streams from high-rate direct RF data converters. As a result, DSPs deliver the lowest latency of all processing classes.

Direct RF architectures boost performance of embedded systems for defense applications in many ways by eliminating the analog RF frequency translation stage, reducing latency, minimizing analog phase and amplitude uncertainties, and simplifying channel synchronization. The second class of intelligent engines is actually two types of AI [artificial intelligence] engines. The general AI engines are balanced to support both machine learning (ML) applications and advanced signal processing for beamforming, radar, FFTs [fast Fourier transforms], filters, video enhancement, and image processing. The AI/ML engines are optimized for ML tasks including image and speech recognition, medical diagnosis, statistical arbitrage, and predictive analytics, and they also offer extended support for ML data types. For ML applications, they are eight times more efficient in silicon area for than DSP engines, reducing power by about 40%. On-board, flexible high-bandwidth memory (HBM) offer data transfer bandwidths up to 820 GB/sec, representing an 8-time increase in bandwidth compared to traditional DDR5. The upcoming Versal ACAP AI RF series has on-board direct RF ADCs and DACs, following the highly successful theme introduced by RFSoC.

Figure 3 | Two members of the AMD Xilinx Versal ACAP system-on-chip FPGAs are the AI [artificial intelligence] core (left) and high bandwidth memory (right) devices. Courtesy Xilinx.

38 October 2023

MILITARY EMBEDDED SYSTEMS

To interconnect all of these diverse resources, ACAP includes an extremely wideband configurable network-onchip that offers a uniform interface and www.militaryembedded.com


protocol to simplify system integration. This heterogeneous mix of ACAP resources enables the designer to assign compute power to the processing engine most suitable to the task at hand and the ability to adaptively reassign resources as required. This flexibility of ACAP delivers as much as ten times the performance compared to dedicated processor types alone. Intel offers two families of direct RF FPGAs, the Stratix 10AX and the new Agilex 9, shown in Figure 4. These multichip modules take advantage of Intel’s chiplet fabrication capabilities to attach various combinations of chiplets to the main FPGA chip using EMIB [embedded multi-die interconnect bridge] and 2.5D packaging processes. The Intel direct RF devices use the Jariet Electra-MA 64 GS/ sec 10-bit chiplet data converters for all three of the devices shown. (Figure 4.) The Stratix 10 AX device uses 14 nm silicon geometry and the FPGA fabric sports 2,753 logic elements, over 11k multipliers, 244 Mbits of on-board RAM, and PCIe Gen3 interfaces. The Agilex 9 devices use Intel’s latest 7 nm process, with 2,693 logic elements, over 17k multipliers, 287 Mbits of RAM, and PCIe Gen4 interfaces. To support high-speed streaming data transport, all devices use 56G PAM-4 and 28G NRZ gigabit serial interfaces. Direct RF converters/FPGAs Although the Versal ACAP AI IF series with the integrated direct RF data converters is not yet available, several parts combining the Versal ACAP and interfaces for direct RF data converters are available: the Mercury RFS1140 RF System-in-Package (RFSiP), a multi-chip module combining the AMD VC1902 Versal AI Core FPGA with four 64 GS/ sec 10-bit ADCs and DACs using Jariet Electra-MA data converters; the SCFE6933, a space-qualified 6U VITA 78 SpaceVPX card featuring the VC1902 Versal AI Core FPGA and optical I/O, designed for operation in LEO, MEO, GEO, and HEO [low-Earth orbit, medium Earth orbit, geosynchronous Earth orbit, and highly elliptical orbit] satellites and deep-space missions; the SCFE6931 www.militaryembedded.com

Figure 4 | Intel direct RF FPGA offerings include Stratix10 AX devices (left) using 14 nm silicon and Agilex 9 devices using 7 nm silicon. All use EMIB [embedded multi-die interconnect bridge] connections between the FPGA fabric and Jariet Electra-MA direct RF ADC and DAC chiplets.

Figure 5 | Four AMD Versal ACAP direct RF products from Mercury (l-r): RFS1140 RF systemin-package with a single AI core and 4-ch 64 GS/sec ADCs and DACs, SCFE6933 6U VITA 78 SpaceVPX with AI Core FPGA and optical I/O, and 5560 SOSA aligned 6U OpenVPX card with two AI Core FPGAs and optical I/O, and 5560 SOSA aligned 3U OpenVPX card with Versal HBM FPGA and direct RF mezzanine ready for ADI Apollo AD9084 ADCs and DACs.

SOSA aligned 6U VPX card with two VC1902 Versal AI core FPGAs with optical wideband optical interfaces to direct RF data converters; and the 5560 SOSA aligned 3U VPX card with the VH1542 Versal HBM ACAP FPGA and mezzanine card site for direct RF data converters. (Figure 5.) Mercury’s direct RF roadmap extends the 5560 Versal FPGA SOSA architecture with new products that incorporate the new 20 GS/sec ADI Apollo data converters at the front end. The industry’s first open architecture board using an Intel direct RF FPGA, the early 2023-released Mercury DRF3182, is a 3U Open VPX card featuring the Stratix10 AX device. It has four transceiver channels of direct RF digitization and generation at 51.2 GS/sec covering a frequency band of 2 to 18 GHz to support numerous EW applications. Eight PCIe Gen3 x4 data plane ports deliver 64 GB/sec of data across the backplane to other cards. (Figure 6.) Mercury is currently developing several roadmap products based on Intel Agilex 9 devices to speed adoption of this new technology for open architecture embedded computing boards for deployed systems. Direct RF for defense applications Direct RF architectures boost performance of embedded systems for defense applications in many ways by eliminating the analog RF frequency translation stage, reducing latency, minimizing analog phase and amplitude uncertainties, and simplifying channel synchronization. Virtually all direct RF data converters contain dedicated digital frequency translators (both DDCs and DUCs) to provide much faster tuning across a very wide frequency span to support complex sweeping and hopping patterns, a critical advantage for many advanced countermeasure and EW algorithms. The powerful heterogeneous processing resources of the latest classes of FPGAs discussed above provide a flexible choice of processing engines best suited to the wide range of required tasks including AI, ML, decoding, demodulation, decryption, signal classification, image processing, sensor fusion, target recognition, trajectory calculations,

MILITARY EMBEDDED SYSTEMS

October 2023

39


INDUSTRY SPOTLIGHT

SOSA Technical Standard 1.0: Impact on EW designs

fire control, countermeasures, attack plan development, and many more. These processor task assignments are adaptable during a mission to optimize performance. Because legacy scanning receivers sequentially sweep across a span using slower analog RF tuners, they can easily miss transients outside of the current scan window. Direct RF receivers can not only stare across a much wider scan window, but can also instantaneously step to a new window, thus missing far fewer transients. When signals of interest are detected, a bank of narrowband DDCs can zoom in on them for further exploitation. Direct RF phased-array countermeasure systems can take advantage of this flexible wideband/narrowband capability to operate multiple narrowband DDCs in parallel, each tuned to specific target frequencies located anywhere across the entire frequency

Figure 6 | Mercury DRF3182 3U VPX direct RF Stratix10 FPGA with four 51.2 GS/sec 10-bt ADCs and DACs.

span, and beamformed to specific target directions. As discussed earlier, direct RF data converters and FPGAs must be tightly coupled for best overall performance. As a better alternative to JESD (standard) serial interfaces, the latest chiplet bonding techniques can stream data across wide high-speed parallel buses within a single multichip module. Flexible chiplet packaging affords much shorter development cycles of new system-in-package offerings containing FPGAs, direct RF data converters, and other specialized peripherals tailored to specific applications and platforms. Such varied offerings of advanced silicon and packaging technologies ensures a growing wealth of deployable capabilities clearly transformative to defense systems. MES

Space Heritage Our chip resistors were first launched into space in 1977 as part of the Voyager mission to Jupiter, Saturn, Uranus, and Neptune. Since then our chips orbit the earth on weather, communication, and government satellites. Our resistors have been part of missions to study the Sun, Earth, Mars, Jupiter, Pluto, interstellar space, and other galaxies. Space heritage? We live it daily.

Mission Critical?

Choose State of the Art resistors. State of the Art, Inc. RESISTIVE PRODUCTS

Made in the USA.

Rodger Hosking is vice president, Mercury Systems Mixed Signal. Rodger has more than 30 years in the electronics industry and is one of the co-founders of Pentek; he has authored hundreds of articles about software radio and digital signal processing. Prior to Pentek, he served as engineering manager at Wavetek/Rockland, and he holds patents in frequency synthesis and spectrum-analysis techniques. He holds a BS degree in physics from Allegheny College in Pennsylvania and BSEE and MSEE degrees from Columbia University in New York. Mercury • https://www.mrcy.com/

40 October 2023

MILITARY EMBEDDED SYSTEMS

www.militaryembedded.com


EXPANDING MARKETS CALL FOR TIMELY, RELIABLE INFORMATION

LET’S EXPLORE NEW WORLDS TOGETHER

Military Embedded Systems focuses on embedded electronics – hardware and software – for military applications through technical coverage of all parts of the design process. The website, e-mags, newsletters, podcasts, virtual events, annual Resource Guide, and print editions cover topics including radar and electronic warfare, artificial intelligence/machine learning, uncrewed systems, C5ISR, avionics, and cybersecurity. Don’t miss any of it! Military Embedded Systems is also the largest source for coverage of the Sensor Open System Architecture (SOSA) Technical Standard and the Future Airborne Capability Environment (FACE) Technical Standard. We exclusively produce the once-yearly SOSA Special Edition and FACE Special Edition.

militaryembedded.com


GUEST BLOG

Enabling warfighter collaboration with cross-domain solutions By George Kamis Last year, the U.S. Department of Defense (DoD) declared integrated deterrence one of its key themes. In the simplest terms, integrated deterrence refers to the DoD’s ability to share information seamlessly between branches, allies, partners, and classification levels. While zero trust has been a huge focus for the DoD, and for good reason, the ability to work across domains requires reinforcement from cross-domain technology, which is increasingly being embedded inside weapons and other assets at the tactical edge. Doing so offers warfighters an advantage, as timely gathering of intelligence and rapid reaction times are crucial to military success. There are many ways the DoD can implement cross-domain solutions for their information-sharing requirements: by using a multilevel device that’s embedded with cross-domain technology, which minimizes the amount of equipment that must be brought into the field, or by using standalone cross-domain solutions to link existing devices. The former lets data be rapidly processed at the edge, as opposed to having to be sent back to a cross-domain system locally or in the enterprise. The latter increases the effectiveness of what agencies already have, though it can introduce latency and may not be available in contested environments. Let’s take a closer look at the importance of cross-domain technology for warfighter collaboration. The shortcomings of zero trust Between the president's executive order and CISA’s maturity model, zero trust has taken center stage in the government space. The growing buzz around zero trust network architecture is warranted, but zero trust alone is not enough to support federated activity for multi-domain operations. The reality is, zero trust runs up to its limits when it comes to data-sharing across security levels. Working across levels is not as simple as consolidating information into a single domain, then applying zero trust principles to it. In fact, in the NSA’s recently released “Embracing a Zero Trust Security Model” guidance, the agency highlighted the shortcomings of zero trust for federated activity, noting that “Zero Trust mechanisms do not remove requirements for crossdomain solutions, especially when information sensitivity differences create excessive risk or when maturity levels vary widely.” Sharing intel across sensitivity levels is common for the DoD, and central to its success. For example, open source data, that’s crucial to all missions, may need to be brought up from the “Unclassified” level to

42 October 2023

MILITARY EMBEDDED SYSTEMS

the “Secret” level. At the same time, intelligence data that is at the “Top Secret” classification level may need to be pushed down to the warfighter operating at the “Secret” level. This may require the stripping of highly classified information. Such highly classified information, such as how the intel was gathered, may be nonessential information to the warfighter, when they are just interested in knowing where adversary is located along with their capabilities. The main point is that cross-domain technology is required to transfer this information between classification levels. Not just any cross-domain technology, either, but solutions that have been assessed and vetted by the NSA’s National Cross Domain Strategy & Management Office (NCDSMO). Speed as tactical advantage On the battlefield especially, the ability to access and exchange data across segmented domains of different classification levels is integral to collaborative warfighting. But data must only be shared when necessary, and when sharing is combined with proper validation and redaction. The benefits of cross-domain technology are even greater when information can be processed directly at the edge. To that end, the government is not the only one leveraging cross-domain functionalities – cloud providers are doing it too. As the DoD and intelligence community make a big push into the cloud, more providers are providing cross-domain capabilities so the work being done there can be transferred to end users at different classification levels on different clouds. The bottom line is that warfighters at the tactical edge need information – and they need it now. The ability to share information quickly, accurately, and securely represents a tremendous advantage in the field. That’s why the DoD is increasingly embedding cross-domain technology inside weapons and other military assets. The DoD has been working towards rapid interoperability and data sharing between defense systems, including suppliers and coalition partners, for several years now. But multinetwork security solutions, including cross-domain technologies, can further enhance collaboration to improve decision quality and deliver a strategic tactical advantage – ideally eliminating the need for redundant devices and improving the effectiveness of warfighters across branches. George Kamis is CTO, Global Governments and Critical Infrastructure, at Forcepoint. Forcepoint · https://www.forcepoint.com/ www.militaryembedded.com


TECHNOLOGY MAKING YOUR HEAD SPIN? WE CAN HELP YOU MAKE SENSE OF IT ALL

Military Embedded Systems focuses on embedded electronics – hardware and software – for military applications through technical coverage of all parts of the design process. The website, Resource Guide, e-mags, newsletters, podcasts, webcasts, and print editions provide insight on embedded tools and strategies including technology insertion, obsolescence management, standards adoption, and many other military-specific technical subjects. Coverage areas include the latest innovative products, technology, and market trends driving military embedded applications such as radar, electronic warfare, unmanned systems, cybersecurity, AI and machine learning, avionics, and more. Each issue is full of the information readers need to stay connected to the pulse of embedded militaryembedded.com technology in the military and aerospace industries.


EDITOR’S CHOICE PRODUCTS

Primary flight display from Intellisense Systems The PFD-1209 rugged video display from Intellisense Systems Inc. is a 15-inch primary flight display with UXGA (1600 x 1200) pixel resolution, a dual-mode backlight, and night-vision compliance. The display is certified to Design Assurance Level (DAL) A, which is intended to provide safety assurance for airborne electronic components. It is equipped with the company’s vFusion technology, which enables two, three, or four screens to be combined for split-screen, windowing, tiling, alpha-blending, and picture-in-picture with zoom capabilities. The integrations aim to reduce pilot workloads, optimize operational efficiency, and decrease total life cycle program expenditures. The PFD-1209 uses an open systems architecture and modular design to support future growth for onboard processing, compatibility with alternate video sources, and the adoption of any new communications protocols. The standard configuration includes four ARINC-818 and two DVI-D video interfaces and display communication through four RS-422 channels. It also comes with customizable bezel configurations that include as many as 28 push buttons, rocker/rotary switches, and a removable inclinometer. The PFD–1209 is a larger screen that provides pilots with an enhanced visualization solution, with an important feature being its multiredundant system, which includes three embedded graphics processing units. These units are designed to ensure the continuous provision of vital data amidst potential interruptions.

Intellisense Systems Inc . | https://www.intellisensesystems.com/

Rugged tablet with Intel CPU and 20-hour battery The Durabook U11 rugged tablet comes with the 12th-gen Intel Alder Lake platform with Intel Core i7 and i5 processors. Durabook officials say with these enhancements, the U11 promises speeds as much as 225% faster than its predecessor. Designed specifically for extreme environments, the U11 meets mil-spec standards for water ingress, resistance to a six-foot drop, and temperature swings of between -4 °F to 140 °F. Its high-definition 11.6-inch display uses Durabook’s DynaVue technology to ensure clear visibility, even in direct sunlight. The inclusion of Thunderbolt 4, multiple USB ports, advanced wireless capabilities such as 5G, and specialized features like an RJ45 Ethernet port and nano SIM slot are intended to enhance the tablet’s connectivity. Users can further customize with options like a barcode reader and RFID/NFC reader. The tablet’s battery life is as long as 20 hours, making it useful for fields in which charging opportunities are few, such as on the battlefield.

Durabook | https://www.durabook.com/

Dual-channel high-speed digitizers GaGe by Vitrek, a producer of high-speed data acquisition and signal recording systems, produces the Razor Series of dual-channel high-speed digitizers. The RazorEdge Express CompuScope models are equipped with A/D sampling rates reaching 250 MS/sec and feature an analog input bandwidth of 125 MHz. They are available in two variations: 16-bit and 14-bit resolution. Key attributes include dual-channel high-speed capabilities, a dual set of 50 Ω / 1M Ω input channels, standard 8 GB memory, a PCIe Gen3 x8 interface, and compatibility with various software development kits. The device also facilitates programming-free operations via the GaGeScope PC oscilloscope windows software. Furthermore, with the use of the eXpert PCIe Data Streaming Firmware, data can be concurrently streamed to the PC memory through the PCIe Gen3 x8 interface, making it usable for real-time continuous signal processing or recording tasks.

GaGe by Vitrek | https://www.vitrek.com/ 44 October 2023

MILITARY EMBEDDED SYSTEMS

www.militaryembedded.com


EDITOR’S CHOICE PRODUCTS

Enabling inductor performance Abracon offers the Power Inductor Performance Analyzer (PIPA), aimed at aiding defense-sector engineers to better understand the optimal performance of inductors, which are known for unstable current fluctuations. By assisting users in assessing key inductor specifications Irms and Isat – Irms is the current that causes the inductor temperature to rise by 40 °C and Isat is the current that causes the inductance to decrease by 10% to 30% – the PIPA tool provides vital data to ensure seamless integration of inductors into critical defense applications. PIPA is designed to shed light on an inductor’s Isat, the paramount current threshold before the inductor reaches magnetic saturation. Magnetic saturation, where the magnetic field strength does not proportionally rise with the applied current, can lead to reduced inductance and deviation from desired functionality. The tool also isolates an inductor’s Irms, the maximum current it can sustain without a marked performance drop due to excessive heat. Such insights enable engineers to establish thermal-dissipation boundaries crucial for maintaining energy efficiency and ensuring the safety of adjacent components. Using the PIPA tool, say company officials, enables a broader understanding of inductor performance than traditional datasheet indicators, which often focus on worst-case scenarios.

Abracon | https://www.abracon.com/

100G Ethernet switch for rugged sensor processing The VPX3-6826, a 3U OpenVPX 100G data plane and control plane Ethernet switch from Curtiss-Wright Defense Solutions, is tailored for rugged sensor processing in defense systems, including high-demand ISR [intelligence, surveillance, and reconnaissance] and mission-essential processing applications. The switch is designed to enable robust connectivity with both high-speed copper VPX backplane ports and rugged optical ports. It also facilitates dual-domain security environments by supporting distinct data plane and control plane switching fabrics, packed with a set of L2 switching and L3 routing features. The switch’s design also uses time sensitive networking (TSN) capabilities for enabling deterministic traffic on the control plane. The VPX3-6826 has as many as 36 data plane Ethernet ports, ranging from 10G to 100G speeds, and 12 control plane 1G or 10G Ethernet ports. This Ethernet switch also serves as a complement to the VPX3-1262 14-core Intel Raptor Lake hybrid processor single-board computer. These units are geared towards delivering 100GbE and high-speed PCIe Gen4 interconnect speeds for the upcoming generation of rugged computing architectures. Aligned to the SOSA Technical Standard, the VPX3-6826 has been validated for extreme environment deployments, suitable for applications including ground, naval, airborne, and commercial/industrial sectors.

Curtiss-Wright Defense Solutions | https://www.curtisswrightds.com/

Rugged MIL-STD-1553 connectors for avionics Pasternack’s MIL-STD-1553 connectors are designed to meet the specific demands of military communications, avionics, and data bus systems. The connectors are also designed to withstand significant physical shocks, which means they can function effectively in challenging environments. They are also designed for vibration resistance in order to ensure dependable connections even in settings with considerable vibration. The connector line is also RoHS compliant, which means they are free from 10 hazardous materials. The connectors are optimized, the company says, for streamlined installation and operation to enhance user-friendliness, with the aim of improving efficiency and user experience. The connectors are also compatible with 1553 twinaxial cables, enabled by a bayonet coupling mechanism. This combination, says the company, ensures enhanced data transmission and enables trustworthy military and avionics communications.

Pasternack | https://www.pasternack.com/ www.militaryembedded.com

MILITARY EMBEDDED SYSTEMS

October 2023

45


www.militaryembedded.com

CONNECTING WITH MIL EMBEDDED

By Editorial Staff

GIVING BACK | PODCAST | WHITE PAPER | BLOG | VIDEO | SOCIAL MEDIA | WEBCAST GIVING BACK Each issue, the editorial staff of Military Embedded Systems will highlight a different organization that benefits the military, veterans, and their families. We are honored to cover the technology that protects those who protect us every day. This issue we are highlighting Vets to Drones, a nonprofit organization that provides military veterans with training, resources, and support to enter the commercial uncrewed aerial system (UAS) industry. Vets to Drones founder Chris Lewis, a U.S. Army and Marine Corps veteran, started the training program in 2021 to prepare veterans to enter the U.S. commercial drone industry. In Lewis’s words: “We started this program because the drone industry poses a new and exciting career opportunity for veterans looking to rekindle the feeling of being a part of an organization larger than themselves.” “I was using a lot of the skills I learned from the military and the drone industry,” Lewis explained. “And then I met other veterans from within the industry. We realized that this is probably the perfect career choice for any veteran that’s interested in using the skills that were gained in the military out here in the civilian world.” The Raleigh, North Carolina-based organization brings veterans from all corners of the country to North Carolina for comprehensive training in UAS piloting and data collection. The in-person training enables the veteran/trainees to gain valuable skills and also provides them with certification to National Institute of Standards and Technology (NIST) and Federal Aviation Administration (FAA) UAS standards. A recent development for the organization saw a Raleigh-based bank award it a grant of $50,000, which the organization says is enabling it to expand its training and support programs. Another donation – this one from Arkansas drone company Unmanned Vehicle Technologies – of a Matrice 210 drone will be used to facilitate Vets to Drones’ mapping classes. Vets to Drones has so far trained more than 800 veterans to become commercial drone pilots in 40 states. For additional information, visit https://www.vetstodrones.org/.

WHITE PAPER

WEBCAST

Bringing Security and High Design Assurance to Warfighter Mission-Critical Systems

Direct GPU RDMA Recording using RoCE Ethernet Link

Sponsored by Concurrent Technologies and New Wave DV Design and Verification

Graphics processing units (GPUs) are seeing broad use in military embedded applications. One challenge remains with GPU-based systems, however: the question of how to move large amounts of data efficiently into and out of the GPU-based processing system.

Mission-critical systems require high-assurance solutions that enable a new level of advanced security against emerging threats for today’s warfighter. Without foundational security and verified correct operation, mission and safety-critical applications can be put at great risk. Enhancing warfighter capabilities and security is key.

By Critical I/O

In this webcast, industry experts will discuss a unique integration with the combination of the seL4 microkernel and DO-178C Design Assurance Level A (DAL-A) to enable robust security and verified correct operation for mission critical applications. Developers will learn how to leverage these key technologies to ensure a safe and secure system design, with enhanced performance and flexibility. (This is an archived event.)

In this white paper, readers can explore the use of a high-speed Ethernet RDMA [remote direct memory access] interconnect between a GPU system and NVMe-based storage system. This approach – moving data directly in and out of GPU memory to NVMe storage over 25 Gb Ethernet links and using RDMAcapable NICs [network interface controllers] at aggregate rates of over 6 GB/sec. – can benefit the user with high efficiency and ultra-low latency. Also mentioned: leveraging the StoreEngine and StorePak NVMe 3U VPX modules and built-in recording software. Measured data rates from a RDMA demonstration system are included to help users.

Watch this webcast: https://tinyurl.com/raezysss

Read this white paper: https://tinyurl.com/mt6u255p

Watch more webcasts: https://militaryembedded.com/webcasts/archive/

Read more white papers: https://militaryembedded.com/whitepapers

46 October 2023

MILITARY EMBEDDED SYSTEMS

www.militaryembedded.com


NAVIGATE ...

THROUGH ALL PARTS OF THE DESIGN PROCESS

TECHNOLOGY, TRENDS, AND PRODUCTS DRIVING THE DESIGN PROCESS Military Embedded Systems focuses on embedded electronics – hardware and software – for military applications through technical coverage of all parts of the design process. The website, Resource Guide, e-mags, newsletters, podcasts, webcasts, and print editions provide insight on embedded tools and strategies including technology insertion, obsolescence management, standards adoption, and many other military-specific technical subjects. Coverage areas include the latest innovative products, technology, and market trends driving military embedded applications such as radar, electronic warfare, unmanned systems, cybersecurity, AI and machine learning, avionics, and more. Each issue is full of the information readers need to stay connected to the pulse of embedded militaryembedded.com technology in the military and aerospace industries.


The Only Full EcoSystem of 3U & 6U 100GbE Products Aligned with SOSA

TM

FPGA DIGITIZING & PROCESSING 6.4 Tb/s 100GbE SWITCHING

Now with 64 GS/s Direct RF Capability!

CHASSIS, BACKPLANE & SECURE CHASSIS MANAGER

16 TB DEPTH & 5 GB/s RATE RECORDING

Annapolis

Micro Systems Tel: 410-841-2514

www.AnnapMicro.com


Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.