76382i

Page 1

Mask Registration and Wafer Overlay Chulseung Leea, Changjin Banga, Myoungsoo.kima, Hyosang Kanga , Dohwa Lee b, Woonjae Jeong b , Ok-Sung Lim b , Seugnhoon Yoon b , Jaekang Jung b , Frank Laske c , Parisoli Lidia c , KlausDieter Roeth c , John C. Robinson d , Jug Sven d , Pavel Izikson e, Dinu Berta e , Widmann Amir f , Dongsub Choi b*( dongsub.choi@kla-tencor.com ) a

Hynix Semiconductor, Fab Tech Photo Dept., Memory R&D Division, Hynix Semiconductor Inc., San 136-1 Ami-ri, Bubal-eub, Ichon-si, Kyungki-do, 467-701, Korea b KLA-TENCOR Korea, 7th Fl. Kyungdong Bldg., 4-4 Sunae-Dong, Bundang-Ku, Sungnam City, Kyunggi Prov., 463-825, Korea c KLA-Tencor / MIE, Weilburg, Germany d KLA-Tencor / WIG PCID 8834 North Capital of Texas Hwy, #301, Austin, TX 78759, USA e KLA-Tencor / OMD, Migdal Haemek, ISRAEL f KLA-Tencor Cooperation, 3-1250A, Milpitas , USA

ABSTRACT Overlay continues to be one of the key challenges for lithography in advanced semiconductor manufacturing. It becomes even more challenging due to the continued shrinking of the device node. Some low k1 techniques, such as Double Exposure and Double Patterning also add additional loss of the overlay margin due to the fact that the single layer pattern is created based on more than 1 exposure. Therefore, the overlay between 2 exposures requires very tight overlay specification. Mask registration is one of the major contributors to wafer overlay, especially field related overlay. We investigated mask registration and wafer overlay by co-analyzing the mask data and the wafer overlay data. To achieve the accurate cohesive results, we introduced the combined metrology mark which can be used for both mask registration measurement as well as for wafer overlay measurement. Coincidence of both metrology marks make it possible to subtract mask signature from wafer overlay without compromising the accuracy due to the physical distance between measurement marks, if we use 2 different marks for both metrologies. Therefore, it is possible to extract pure scanner related signatures, and to analyze the scanner related signatures in details to in order to enable root cause analysis and ultimately drive higher wafer yield. We determined the exact mask registration error in order to decompose wafer overlay into mask, scanner, process and metrology. We also studied the impact of pellicle mounting by comparison of mask registration measurement pre-pellicle mounting and post-pellicle mounting in this investigation. Keywords: Overlay, Mask, Registration

1. INTRODUCTION Mask registration is an absolute measurement on the reticle, and represents the deviation of registration features versus the designed positions on the reticle Wafer overlay is a relative measurement, and represents the distance between the reference mark position and the resist mark position. Figure 1 shows the definition of both metrologies.. Mask misregistration is one of contributors to wafer overlay errors together with scanner, process and metrology contributions. Therefore, field overlay can be described as follows.

WaferOverlay = (∂ 2scanner + ∂ 2mask + ∂ 2process + ∂ 2metrology ) Metrology, Inspection, and Process Control for Microlithography XXIV, edited by Christopher J. Raymond, Proc. of SPIE Vol. 7638, 76382I · © 2010 SPIE · CCC code: 0277-786X/10/$18 · doi: 10.1117/12.846321

Proc. of SPIE Vol. 7638 76382I-1 Downloaded from SPIE Digital Library on 01 Apr 2010 to 192.146.1.254. Terms of Use: http://spiedl.org/terms


Figure 1.Definitions of mask registration and wafer overlay. Since this type of investigation is for critical process layers with very tight overlay specifications, we used AIM (Advanced Imaging Metrology) advanced overlay metrology targes. We also used advanced metrology tools: Archer100 for wafer overlay measurement and LMS IPRO4 for mask registration measurements. We used KT-Analyzer to analyze both the reticle and the wafer data. All of the exposures for the experiment were done an ASML 1900i immersion scanner. Our investigation focuses on co-analyzing the mask data and the wafer overlay data. To achieve the most accurate and cohesive results, we introduced the combined AIM mark which can be used for both mask registration measurement as well as for wafer overlay measurement. An example of the combined AIM mark is shown in figure 2 (left). Coincidence of both metrology marks makes it possible to subtract the mask signatures from wafer overlay without compromising the accuracy due to the physical distance between measurement marks, as compared with the case that we use 2 different marks for both metrologies. We compared the wafer overlay measurement results of the combined AIM mark to that of a standard AIM mark and standard registration measurement mark. Figure 2 (right) shows the correlation between the combined AIM and standard AIM. The distance between two AIM marks is 40um. Considering they are 2 different marks, an R^2 value around 0.98 of the correlation is reasonably high in which case we conclude that the combined AIM represents the wafer overlay of a standard AIM mark. We also checked the correlation of the registration between the AIM pattern and registration mark pattern located inside of the combined AIM mark. The average R^2 value of the correlation for several combined AIM marks is 0.93. The measurement of the centered feature in the AIM mark represents the registration performance of the AIM mark on the reticle.

Figure2. The example of combined AIM (left) and the correlation between combined AIM and standard AIM (right) of wafer overlay

Proc. of SPIE Vol. 7638 76382I-2 Downloaded from SPIE Digital Library on 01 Apr 2010 to 192.146.1.254. Terms of Use: http://spiedl.org/terms


We also investigated the correlation loss due to the distance between an AIM pattern vs the registration mark in figure 3. Side-by-side is the case when the distance is 40um apart on the wafer level. Considering that the R^2 value of the sideby-side case is already down to 0.88, the combined AIM approach is the best option for our investigation. In addition, close proximity improves mask metrology throughput when both marks are within the same working zone (region of interest.) . This investigation also shows that the distance between AIM pattern and registration mark has a significant impact on how good the AIM mark position is represented by the registration mark. In fact, the correlation exponentially decreases by the distance in this example.

Figure 3. The correlation loss by the distance between AIM pattern vs registration mark. Side-by-side is the case when the distance is 40um apart in wafer level. To replicate the production environment, we made 2 test masks for our experiment with dense measurement blocks. Test masks have 21 x 25 measurement blocks in the exposeure area, as shown in figure 4. The distance between adjacent measurement blocks is 1.25mm on wafer level. Mask registration measurements were done for both masks as well as wafer overlay measurements. To understand the influence of the pellicle on the wafer overlay, we measured mask registration before and after pellicle mounting. Then we printed wafers with and without pellicle and investigated wafer overlay before and after pellicle mounting for both masks. We will discuss about the pellicle influence in details later.

Figure 4. The layout of the test masks(left) and part of the measurement block (right)

Proc. of SPIE Vol. 7638 76382I-3 Downloaded from SPIE Digital Library on 01 Apr 2010 to 192.146.1.254. Terms of Use: http://spiedl.org/terms


2. EXPERIMENT AND ANALYSIS The goal is to most accurately represent the production environment of Hynix for our investigation, hence we created 2 test masks meeting the specifications of advanced mask levels at the Hynix mask-shop. In addition the exposure and illumination conditions also followed what production is using for high-end devices. We used advanced metrology tools for both mask registration measurement, using LMS IPRO4, and wafer measurement using Archer100. KT-Analyzer was used to analyze both the mask and wafer data. Special techniques were used to minimize metrology induced noise. 2.1 Mask Registration We measured mask registration of the two test masks to determine the level of mask registration error. We found that mask-to-mask registration error is 92% of individual mask registration error. Out of the dense registration measurement, 525 points in the field area, we could capture the signature on mask very precisely. Figure 5 shows the signature of both masks and the mask-to-mask registration delta signature. As a result of this investigation, it was realized that further registration improvement efforts should be focused on the bottom area of mask where there was found an issue with the . e-beam writer. We noticed that histogram of linear and 3rd order residual is not symmetric in figure 6. Therefore, we analyzed the residual decrease by increasing the order of polynomial models to see a possible improvement by higher order scanner corrections. The residual of each individual mask can be reduced around 30% meanwhile that of mask-tomask can only be decreased by 16%. This behavior can be understood by the fact that both masks have a similar systematic fingerprint. Systematic errors that are the same on both masks will not impact Mask-to-Mask overlay performance.

Figure 5. Mask registration signature of reference mask (left), resist mask (middle) and mask-to-mask registration delta (right) : linear model applied.

Figure 6. Residual of polynomial models up to 7th order. Residual histogram (upper left), the residual of reference mask (upper right), resist mask (lower right) and mask-to-mask (lower left).

Proc. of SPIE Vol. 7638 76382I-4 Downloaded from SPIE Digital Library on 01 Apr 2010 to 192.146.1.254. Terms of Use: http://spiedl.org/terms


2.2 Wafer Overlay result We exposed 4 different wafers as a matrix of mask and wafer defractive optical elements (DOE) as shown in Figure 7.

Figure 7. Matrix of exposure tests We can draw several conclusions from matrix experiment (Figure 8). We observed around 24~26% of residual 3sigma increase by using 2 different masks compared with the single mask use case. Also, there is not much difference between the linear model and high order model. However different DOE dramatically influence the contribution of the linear model. The Distortion difference from the different DOEs can not be modeled by a linear model in our experimental case. A 3rd order model can improve the residual close to same DOE combination case. Therefore 3rd order corrections on the scanner system yields to significant improvements in case of using different DOEs for each exposure. While applying 3rd order correction for the case of same DOEs does not show any significant improvement, which is in agreement with figure 6. Another important thing to conclude is that 4 corner (or 4corner & 1 center position) measurements cannot represent the entire field overlay distribution properly, such as is shown in the overlay signatures in figure 8. This might result in serious, undetectable overlay errors without proper sampling plan for intra-field.

Figure 8. Residual of each combination of mask and DOE conditions (left) and the overlay signature of the same DOE & different mask (right top), and the overlay signature of different DOE & different mask (right bottom) 2.3 Relation between mask registration and wafer overlay The prerequisite condition to investigate the relationship between mask registration and wafer overlay is the coincidence of both measurement locations. A correlation loss was shown due to the increased distance between metrology marks. Therefore, we introduced a combined AIM mark which has the benefit to provide reliable information for both metrologies. We also developed KT-Analyzer to subtract the mask-to-mask registration error from wafer overlay. We separated overlay error into mask-to-mask registration error and that of non-mask related error. This capability is very important as the overlay requirement becomes tighter and tighter and the proportion of mask registration error becomes a

Proc. of SPIE Vol. 7638 76382I-5 Downloaded from SPIE Digital Library on 01 Apr 2010 to 192.146.1.254. Terms of Use: http://spiedl.org/terms


larger percentage of the total wafer overlay error. If mask registration overlay contribution becomes more critical, sufficient sampling on combined AIM marks is required to ensure to meet high yield goals. High performance LMS IPRO data, combined AIM mark supported by KT-Analyzer software enables wafer manufacturers to separate the error sources into mask related and non-mask related. Double patterning drives the need to apply this technique, because DPL will require extremely tight overlay below 3~4nm. The schematic of separation is described in Figure 9 and the separated overlay signature from mask and non-mask sources are also displayed on the right side of Figure 9. In the case where we ran the same DOE with different masks shows that the mask influence on the wafer overlay is around 19% of wafer overlay, according to Eq.(1). The correlation between mask and wafer can be one of the indicators which can tell you how much influence comes from scanner or mask. Therefore it is mandatory to have the capability for error separation as mentioned above. We will explain the details to extract pure scanner signature from wafer overlay later.

Overlay _ Budget (mask _ related ) =

mask 2 wafer _ overlay 2

Eq. (1)

Figure 9. Separation of wafer overlay error into mask related error and non-mask related error 2.4 Feedforward higher order field correction based on LMS IPRO4 mask registration data Initially we were considering the scenario of feeding-forward mask-to-mask registration error (signatures) into the scanner before the production exposure to remove the signature from the masks. This was triggered by a former presentation [2] showing good correlation between reticle and wafer overlay data. In this presentation, it was concluded that improving mask registration would have a direct impact on wafer overlay performance. To investigate this correlation, top performance metrology tools on wafer and mask level are required to get reliable data and properly divide the error contributions. According to our investigation, however, the possible improvement here is limited due to several reasons. One of them is that in our case wafer overlay is much higher than mask registration error due to the relatively large scanner influence. Another issue is that mask registration error can only be improved by 16% using scanner correction up to 3rd order. Considering all the constraints, it is necessary to control 3rd order field overlay based on wafer overlay measurements instead. With high performance overlay processes, feedforward approach can be applied for further yield improvement.

Proc. of SPIE Vol. 7638 76382I-6 Downloaded from SPIE Digital Library on 01 Apr 2010 to 192.146.1.254. Terms of Use: http://spiedl.org/terms


2.5 Scanner field overlay analysis

Field related wafer overlay is influenced by components of scanner, mask, process and metrology (Eq.2). We explained that we developed methods to separate wafer overlay into mask related error and non-mask related error. Using this function, we can separate the mask related error under the condition that two measurements are co-located (Eq.3). We used a double exposure technique which exposees the 2nd layer right after the 1st layer without any processing in-between. We modeled each field individually to minimize any geographic distortion influence from wafer. Using this method, we could minimize the process influence (Eq.4). We also implemented TIS, Tool Induced Shift, correction for every wafer overlay measurement. The overlay target was exposed by double exposure. Therefore, we could achieve below 0.15nm TIS 3sigma. By this, we also could successfully minimize the metrology influence (Eq.5). We would like to analyze the scanner signature, especially the part that cannot be controlled by standard scanner correction methods. We can remove the systematic contribution by applying scanner correction model (Eq.6).

WaferOverlay( field ) = (∂ 2scanner + ∂ 2mask + ∂ 2process + ∂ 2metrology )

WaferOverlay( field) − Mask = (∂2scanner + ∂2process + ∂2metrology)

Eq. (2) Eq. (3)

WaferOverlay( field ) − Mask ≈ (∂ 2scanner + ∂ 2metrology )

Eq. (4)

WaferOverlay ( field ) − mask ≈ Scanner ( field )

Eq. (5)

2 Scanner( field) ≈ Δμscanner + δ scanner

(Δ : systematic, δ : non − systematic)

Eq. (6)

After extracting to the nearly pure scanner signature, we can also check the influencing components of scanner like slit signature which can be considered as lens signature, scan signature, synchronization signature, scan difference and distortion which is the combined signature of all influencing components. What is unique for this scanner related overlay analysis is that it can show the scanner signature of real production conditions. Meanwhile, the signature in real production contains additionally influences by pellicle, process and metrology comparing with this method. The extracted component signatures are shown in Figure 10. We extracted the production condition’s scanner signature by using the method described above. This investigation became the trigger of further scanner adjustment to reduce scanner influence on wafer overlay, demonstraiting that this method can be used scanner monitoring under real production conditions.

Figure 10. Extracted scanner signatures.

Proc. of SPIE Vol. 7638 76382I-7 Downloaded from SPIE Digital Library on 01 Apr 2010 to 192.146.1.254. Terms of Use: http://spiedl.org/terms


2.6 Pellicle influence

The LMS IPRO tool has the capability to measure mask registration after mounting the pellicle on mask using the LWD (Long Working Distance) lens. We investigated the pellicle influence to mask registration as well as wafer overlay with the measurement before and after pellicle mounting. We also exposed the wafers with the same exposure conditions with the mask with pellicle. Signatures in Figure 11 represent differences of pre- and post-pellicle measurements. We found non-consistencies of pellicle influence on 2 masks. The error budget contribution of pellicle induced registration distortion is about 15% of total mask-to-mask overlay. Therefore pellicle frame induced mask distortions must not be neglected. We are currently investigating why the resist mask has a specific signature on the upper right and lower left corners. The pellicle induced signature on the mask is not the same as that of the wafer. This is mainly due to the additional image distortion by the pellicle on the scanner. When mask registration is measured on an IPRO tool, the pellicle is on the top side of the mask, while the pellicle is placed on the bottom side of the mask on a scanner. In addition there will be mechanical distortions on the mask by chucking on a scanner.

Figure 11. Signature of pellicle; post-pellicle measurement minus pre-pellicle measurement and signature of pellicle on wafer overlay 2.7 High order field signature contribution

We investigated the influence of the high order modeled signature on the wafer by the influence of (a) mask registration , (b) pellicle influence to mask registration, (c) pellicle influence to wafer, (d) scanner influence without pellicle, (e) scanner influence with pellicle and (f) wafer overlay in Figure 12. Pellicle influence is different on wafer as compared to the mask registration. This is one of the reasons that high order field control should be based directly on wafer measurements, not something else like mask registration measurements. Together with other reasons stated previously, like the scanner bering the dominant influence, and exposure condition influences (especially focus.) We can also observe the pellicle influence on the scanner is dominated in the x-direction which was found in another paper as well, ’Reduced pellicle impact on overlay using high order intrafield grid corrections’ at SPIE 2009.

Figure 12. 3rd order field model signature change a, b, c, d, e, f 2.8 Mask registration noise influence on scanner qualification

We checked the noise level of current scanner qualification methods due to the mask registration error using our test masks which have tightly controlled levels of mask registration error. Normally scanner qualification procedures use the same mask to make both parts of the qualification mark, exposing twice using the same mask, by applying an offset. . We placed both reference mark and resist mark on our reference mask as shown in figure 13. The distance between

Proc. of SPIE Vol. 7638 76382I-8 Downloaded from SPIE Digital Library on 01 Apr 2010 to 192.146.1.254. Terms of Use: http://spiedl.org/terms


reference to resist mark is 185um in the y-direction. The conventional assumption is that using the same reticle and expose two times with a small offset will remove the mask influence from wafer overlay (as with a distortion check), however, this may be problematic. According to our investigation around 1nm (wafer level) noise is present on the mask, due to the mask registration error influence between two marks, even if they are just 185um apart. This can be a critical level of noise when the target of scanner overlay becomes 3nm, especially for double patterning. Therefore, it is better to remove the mask registration error from wafer overlay for scanner qualification. In order to calibrate latest generation scanner systems, mask registration data must be determined best possible. This requires a highest performance mask registration metrology system.

. Figure 13. Reference and resist mark on the mask (left) and mask registration difference vector plot on the mask (right)

3. SUMMARY In our study, we demonstrated that we can understand the relation between mask registration and wafer overlay together with pellicle influence. Also we can extract the pure scanner signature of production condition using the method described in the paper together with KT-Analyzer which can subtract mask registration from wafer overlay. This separation will be even more important for Double patterning Lithography. Out of investigation, we can set more clear steps to achieve the overlay below 3nm as well as high order field behavior and control. Combined AIM mark can be used for both mask registration measurement as well as wafer overlay measurement. High performance LMS IPRO data, combined AIM mark supported by KT-Analyzer software enables wafer manufacturers to separate the error sources into mask related and non-mask related.

Figure 14. New mask registration and wafer overlay correlation capability using KT-Analyzer

Proc. of SPIE Vol. 7638 76382I-9 Downloaded from SPIE Digital Library on 01 Apr 2010 to 192.146.1.254. Terms of Use: http://spiedl.org/terms


REFERENCES [1] Dongsub Choi, Chulseung Lee, Changjin Bang, Myoungsoo Kim, Hyosang Kang, James Manka, Seugnhoon Yoon, Dohwa Lee, John C. Robinson The study and simulation of high-order overlay control including field-by-field methodologies, SPIE 2009 [2] Karsten Bubke, Robert de Kruif, Jan Hendrik Peters, Mircea Dusa, and Brid Connolly, Mask characterization for double patterning lithography , J. Micro/Nanolith. MEMS MOEMS 8 (2009) [3] Robert de Kruif, Tasja van Rhee,Eddy van der Heijden, Reduced Pellicle Impact on Overlay using High Order Intrafield Grid Corrections, SPIE 2009

Proc. of SPIE Vol. 7638 76382I-10 Downloaded from SPIE Digital Library on 01 Apr 2010 to 192.146.1.254. Terms of Use: http://spiedl.org/terms


Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.