RTC magazine

Page 1

The magazine of record for the embedded computing industry

March 2012

www.rtcmagazine.com

FPGAs CPUs MIX IT UP WIth

Digital Signage Builds on Embedded Windows Software Frameworks Speed Development Bridging the COM/Stackable Gap An RTC Group Publication



FPGAs Mix It Up with CPUs

50 Atom-Based COM Express Compact Module Targets Low Power

53 Series of Configurable Power Supplies up to 2500 Watts

TABLEOF CONTENTS

54 Dual Core Mini-ITX Board Features Rich I/O Set

VOLUME 21, ISSUE 3

Departments

5

Editorial “It’s Not Rocket Science”... but Maybe it Should Be

Insider 6Industry Latest Developments in the Embedded Marketplace

10 & Technology 50Products Newest Embedded Technology Used by Industry Leaders Small Form Factor Forum Embedded 2.0

EDITOR’S REPORT New Architectures for COM Modules

12

Technology in Context

TECHNOLOGY IN SYSTEMS

FPGAs Mix It Up with CPUs

Embedded Windows

Mix it Up with CPUs—The 14 FPGAs Era of the SoC FPGA Chris Balough, Altera

20

Designing ASP-Type Devices: What Does it Take? Greg Brown, Xilinx Inc.

TECHNOLOGY CONNECTED Bridging Form Factors

24

Introducing the EMX Form Factor—Bridging the Worlds of COM and SBC Jonathan Miller, Diamond Systems

ARM-Based COM Modules? Let’s Take a Step Back and Look at This Tom Williams

Embedded POSReady 7: 34Windows The Right Choice for Digital Signage John Lisherness, Avnet

Systems and Digital Signage: A Natural Fit 38Embedded Larry Allen, Bsquare

TECHNOLOGY DEPLOYED Frameworks Help Systems Adapt

Proofing through Portable 42Future Software Wes Johnson, Eurotech

Yocto Project: New 46The Possibilities for Intelligent Embedded Systems? Lax Mandal & David Stewart, Intel

Digital Subscriptions Avaliable at http://rtcmagazine.com/home/subscribe.php RTC MAGAZINE MARCH 2012

3


MARCH 2012 Publisher PRESIDENT John Reardon, johnr@rtcgroup.com

/LQX[ .HUQHO ,QVWDOOHG 0% )/$6+ 0% 5$0 0K] $UP &38 'LJLWDO , 2 /LQHV %DVH ±7 (WKHUQHW 86% DQG 6HULDO 3RUWV +DUGZDUH &ORFN &DOHQGDU :DWFKGRJ DQG $XGLR ,Q 2XW 9 '& 3RZHU

Editorial EDITOR-IN-CHIEF Tom Williams, tomw@rtcgroup.com CONTRIBUTING EDITORS Colin McCracken and Paul Rosenfeld MANAGING EDITOR Sandra Sillion, sandras@rtcgroup.com COPY EDITOR Rochelle Cohn

Art/Production

3UHORDGHG ZLWK '26 )ODVK )LOH 6\VWHP 0+] &RPSDWLEOH 3URFHVVRU . )ODVK . '5$0 3LQ ',3 6RFNHW 'LJLWDO , 2 /LQHV 6HULDO 3RUWV &RQVROH 'HEXJ 3RUW :DWFKGRJ ELW 7LPHUV 9 '& RU 9 3RZHU

ART DIRECTOR Kirsten Wyatt, kirstenw@rtcgroup.com GRAPHIC DESIGNER Maream Milik, mareamm@rtcgroup.com LEAD WEB DEVELOPER Hari Nayar, harin@rtcgroup.com

Advertising/Web Advertising

ZZZ MNPLFUR FRP VDOHV#MNPLFUR FRP Untitled-1 1

2/3/12 12:17:39 PM

VERY COOL PRODUCTS!

WESTERN REGIONAL ADVERTISING MANAGER Stacy Mannik, stacym@rtcgroup.com (949) 226-2024 MIDWEST REGIONAL AND INTERNATIONAL ADVERTISING MANAGER Mark Dunaway, markd@rtcgroup.com (949) 226-2023 EASTERN REGIONAL ADVERTISING MANAGER Shandi Ricciotti, shandir@rtcgroup.com (949) 573-7660

Billing Cindy Muir, cmuir@rtcgroup.com (949) 226-2021

To Contact RTC magazine: HOME OFFICE The RTC Group, 905 Calle Amanecer, Suite 250, San Clemente, CA 92673 Phone: (949) 226-2000 Fax: (949) 226-2050, www.rtcgroup.com Editorial Office Tom Williams, Editor-in-Chief 1669 Nelson Road, No. 2, Scotts Valley, CA 95066 Phone: (831) 335-1509

INTERNATIO NAL

No matter how you shake it, bake it, or configure it, everyone knows the reputation, value and endurance of Phoenix solid state and rotating disk VME products. Leading the way in storage technology for decades, Phoenix keeps you on the leading edge with very cool products! Published by The RTC Group Copyright 2010, The RTC Group. Printed in the United States. All rights reserved. All related graphics are trademarks of The RTC Group. All other brand and product names are the property of their holders.

We Put the State of Art to Workk www.phenxint.com t 714-283-4800 PHOENIX INTERNATIONAL IS AS 9100/ISO 9001: 2008 CERTIFIED

4

Untitled-6 1

MARCH 2012 RTC MAGAZINE

9/9/11 6:36:24 PM


EDITORIAL MARCH 2012

Tom Williams Editor-in-Chief

“It’s Not Rocket Science” . . . but Maybe it Should Be

H

as anybody actually noticed something? Something missing? I was looking around the other day for the U.S. space program and couldn’t find it anywhere, well certainly not the manned space program. I grew up with a space program always sort of, well . . . being there, and it is a strange feeling not being able to find it even among the spare change in the sofa. In the summer of 1969 a bunch of friends and I assembled three black-and-white TVs, one for each network (cable? What’s that?), so as not to miss a minute of the ongoing coverage of the first moon landing. It is thus that geeks are born. It’s been a long time since a national/world event generated such enthusiasm. Oh, NASA is still around, but grass is going to start growing in the cement cracks of once-dynamic launch complexes. We’re still launching unmanned probes to interesting places, but they seem to be mostly of interest to specialists—not a bad thing, but there’s no pizzazz any more, no atmosphere of “The Right Stuff.” Even the latter years of seemingly routine space shuttle flights could generate a certain level of excitement because the assumption was that the shuttle was the preliminary stages of even more ambitions explorations such as a manned mission to Mars. Now why this nostalgic romantic outburst, you may ask. Yes, it does have to do with technology... and economy... and innovation. Man landed on the moon before the advent of the microprocessor by dint of sheer competitive will and creativity in a (supposed) dead heat race with the Soviet Union. Since then uncountable advances in microelectronics, medical instrumentation, telecommunications, systems design and more originated to meet the demands of the space program and spawned products, companies and new branches of industry. These have branched out into both the civilian sector and into the defense industry. They have enhanced the quality of life and provided economic growth. From our present position with the economy appearing to be slowly starting up again, should we ask ourselves if the technology sector has enough motivation, energy and focus to grow the way we would all like to see it? Or could we benefit from a national goal like a renewed space program or some other huge

project such as a technically modernized national infrastructure of roads, rail and/or power grid? Would such a project be government funded as was (is) the space program, or would/could it be powered solely by private initiative? All this presupposes the basic question of whether we or our industry even need such a thing. It is interesting that the space program for all its inspiration, energy and positive results was not in and of itself a “useful” undertaking. Putting men on the moon was mainly a matter of national prestige considered of intrinsic value during the era of the Cold War. However, its effects had extremely practical value. Would we even have an Internet today had it not been for the space program? What would be the state of medical technology? How many companies, born well after the zenith of the space program but as a result of the technological waves unleashed by it, would even be in existence today if it had not happened? I, for one, would be delighted with a revival of an ambitious manned space program, but I guess we have gotten much more “practical” in recent years. The technology we could throw at a Mars mission today and that which we would have to develop to really pull it off would be tremendous. But some other ambitious national—or even international—project could address more practical needs like assuring adequate world water supplies, food availability, clean energy, or ocean management. All of these things could and would spur technological innovation, be they practical or merely visionary. That would translate once more into economic growth and a lot of really cool embedded devices. There is that expression we’ve all used, “Well, it’s not rocket science.” This implies that whatever we are doing or struggling with is actually not the most difficult task there is. It could be a problem in rocket science and then what would we do? I hope we would rise to the challenge. I would hope that if learning rocket science was necessary to accomplish a goal that we would simply do that. The question here is, do we need such a challenge to rise to in order to move ahead? I think we do. RTC MAGAZINE MARCH 2012

5


INDUSTRY

INSIDER MARCH 2012 Adlink Technology Acquires 100% of Lippert Embedded Computers Adlink Technology has announced the 100% share acquisition of Lippert Embedded Computers GmbH in Germany for approximately 7M Euro based on the resolution of the board meeting held on Jan. 19, 2012. Located in Mannheim, Germany, Lippert has around 40 employees with a predominantly R&D and technical background and has extensive experience in the design and manufacture of embedded PC systems for rugged environments. Jim Liu, CEO of Adlink, stated, “By leveraging Lippert’s solid design methodology and process, the overall quality of Adlink’s embedded PC products can be effectively improved and better applied to a variety of environment-critical markets. Furthermore, the synergy of this acquisition with respect to production, costs, market development and product comprehensiveness will be brought into full play. With the addition of Lippert’s technical team, Adlink will be able to provide better support to its customers in Europe and increase its global market share in module computing.” Adlink has appointed Mr. Peter Lippert as vice president in charge of the Global Module Computing Product Segment. “Initially, nothing will change at Lippert. Our products will continue to be manufactured in Germany, and I am staying on at the company,” said Lippert. Adlink also improves with the takeover, with strengthened technical services and relationships with trading partners in Europe. Adlink and Lippert will continue to be represented by the same company in various regions such as Scandinavia and Russia.

Advantech Joins Network Intelligence Alliance for Next-Generation Network Solutions

Advantech has announced that it has become a member of the Network Intelligence Alliance (NI Alliance), an industry organization created to enhance collaboration and synergy among the Network Economy’s technology providers. Participation in the NI Alliance will help Advantech to further design and market innovative solutions for customers seeking to improve platform time-to-market and enhance scalability across multiple multicore architectures. In today’s Network Economy, accurate visibility and precise tracking of data crossing

6

MARCH 2012 RTC MAGAZINE

networks have become crucial to the availability, performance and security of applications and services. The growing complexity of IP transactions, the explosion of mobile applications, and the mainstream adoption of cloud computing surpass the capabilities of conventional tools to improve how networks operate, expand services and cope with cybersecurity. Just like business intelligence solutions emerged to unlock information hidden in the enterprise, network intelligence technology is an emerging category of technology to reveal the critical details of the data locked inside network traffic and transactions.

Microsemi Acquires Timing, Synchronization and Synthesis Business from Maxim

Microsemi Corporation has announced it has acquired the telecom clock generation, synchronization, packet timing and synthesis business from Maxim Integrated Products. The terms of the transaction were not disclosed. The acquired product lines and technology are vital to the effective and efficient delivery of time-sensitive voice, data and multimedia traffic over wireless and wired networks, and will further provide Microsemi’s customers with the critical synchronization components required to harmonize system and network clocks, as well as the synthesis products required to distribute timing clocks throughout each system. “This business, acquired from our colleagues at Maxim, is the perfect complement to the highly sought-after synchronization and timing products we obtained in the Zarlink acquisition,” said James J. Peterson, Microsemi president and chief executive officer. “We believe the two pieces fit like a glove and allow us to better serve our customers with the broadest high-end synchronization and timing technology portfolio in the market today. Here at Microsemi, we don’t just want to participate in these attractive markets, we want to lead them.”

CAN in Automation Marks 20th Anniversary

This year is CAN in Automation’s 20th anniversary. The nonprofit users’ and manufacturers’ group with 570 member companies serves the CAN (Controller Area Network) community with marketing and standardization activities. CAN is a serial

bus system used in many industries. Close to 1 billion CAN interfaces are sold annually. Nearly all important chipmakers have implemented CAN in silicon. CiA members have developed the CANopen application layer and profiles used in many embedded networks from machine control to medical devices, from commercial vehicles to diesel locomotives, from electronic lock to lift control systems, for example. CiA’s birthday is celebrated at the 13th international CAN Conference (iCC) in Hambach Castle (Germany). At this conference the CAN-FD (flexible data-rate) protocol will be introduced. The backward compatible protocol breaks the 1 Mbit/s data-rate and allows longer payloads in a single frame (up to 64 byte compared with up to 8 byte). At the conference some silicon vendors will launch their CAN transceivers supporting partial wake-up functionality as standardized in ISO 11898-6. They will enable partial networking; this means the user is able to switch single devices on and off, in order to reduce energy consumption.

Macraigor and Lauterbach Announce Development Support for Cavium OcteonIII

Two companies have independently announced development support for the Octeon III MIPS64 family of 1- to 48-core processors from Cavium. Macraigor Systems offers JTAGbased solutions for all of the steps involved with product development. Low level debuggers and boundary-scan tools are available to help with basic board bring-up all the way through the Eclipse Environment with the appropriate plug-ins to enable application development. Flash memory programming and production line test tools are also offered.


Lauterbach is supporting the Octeon III with its Trace32 debugger. The Trace32’s PowerView software includes a very efficient and user-friendly HLL debugger for C and C++ and awareness for Linux RTOS, enabling seamless debug from kernel to processes and threads. The tools can be connected to either Windows or Linux hosts via USB or Ethernet 10/100/1000. Lauterbach tools help increase developer efficiency and reduce time-to-market. In

addition to the Trace32 product line, Lauterbach provides worldclass embedded developer technical support. Existing Lauterbach customers can take advantage of Trace32’s modular design to inexpensively convert their existing Trace32 debuggers to support the Octeon III family. The Octeon III family integrates 1 to 48 MIPS64 cores, at up to 2.5 GHz, providing up to 120 GHz of industry-leading 64-bit compute power per chip.

Multiple chips can be combined into a single logical high-performance processor using Cavium’s innovative new chip interconnect architecture. All Octeon III processors also incorporate new dedicated hardware engines to speed search, protocol parsing and traffic management as well as enhanced cryptography, compression and deep packet inspection engines. Cavium Octeon III processors are optimized for use in cloud computing, high-end core

and edge routers, metro Ethernet, enterprise switches, 3G/4G/LTE base-stations, enterprise security gateways and appliances, storage networking and mobile core infrastructure equipment.

Germany Maps Out Financing Plan for Renewable Energies

Germany recently passed the 20 percent mark for renewable energy in the electricity

644.89 (1.99%) This data is as of March 9, 2012. To follow the RTEC10 Index in real time, visit www.rtcmagazine.com. COMPANY

PRICE

CHANGE

52-WEEK HIGH

52-WEEK LOW

MARKET CAP

Adlink Technology

1.42

3.07%

1.93

0.96

$195.74M

Advantech

3.23

-0.52%

3.39

2.42

$1,782.67M

Concurrent Computer -

3.65

-0.54%

6.89

3.21

$33.59M

476.06

0.00%

481.54

455.27

$108.77M

Enea

6.63

1.13%

8.22

2.96

$117.17M

Interphase Corporation

4.99

0.81%

7.59

3.27

$34.41M

Kontron

8.05

0.41%

11.87

6.23

$448.06M

13.61

0.29%

21.92

11.24

$419.98M

Elma Electronic

Mercury Computer Systems Performance Technologies

2.29

3.62

2.43

1.47

$25.46M

PLX Technology

3.66

1.95%

3.94

2.52

$163.00M

RadiSys Corporation

7.01

-1.82%

9.38

4.01

$196.60M

RTEC10 involves time sensitive information and currency conversions to determine the current value. All values converted to USD. Please note that these values are subject to certain delays and inaccuracies. Do not use for buying or selling of securities. RTEC10 is sponsored by VDC research

Market Intelligence & Strategy Consulting for the Embedded Community Complimentary Embedded Market Data Available at: www.vdcresearch.com RTC MAGAZINE MARCH 2012

7


INDUSTRY INSIDER

mix. And the federal KfW bank group has introduced a new plan to further accelerate this shift to renewables, with increases in multimillion Euro business loans now available. As more renewable energy is generated, energy management and storage are also receiving increased focus. “With such a large share of renewable energy in the mix, it is becoming more urgent to implement smart grid and storage technologies to balance the fluctuating supply. Germany is making an exceptional team effort to achieve our ambitious goals, with businesses, banks, researchers and the government all working together” said Heiko Staubitz, renewable energy expert at Germany Trade & Invest in Berlin. The new KfW plan outlines efforts to ease the financial burden of a wide-ranging shift to renewable energy. Previously, loans were available to small businesses for their efforts to move to renewables, and these have been expand-

ed to cover companies with annual revenue of up to 3 billion euro. At the same time, loans are available to support research and development of energy storage, transmission, production and efficiency techniques with grants up to EUR 25 million, marking an increase. In light of last year’s decision to phase out nuclear power, Germany is further ramping up investments in renewable energy. Already, Germany features the world’s strongest photovoltaic market, with nearly half of all global installations worldwide. In wind power, Germany leads Europe in total installations and is currently preparing for a major expansion in the offshore segment.

Modern Security Needs Go Well Beyond the World of Anti-Virus

Commenting on a report from Trustwave claiming that antivirus (AV) software is powerless

to stop data breaches, Avecto says the study’s conclusion is similar to that of a Best Buy Guide to Chocolate Fireguards—the end result is always going to be a negative one. “This report conclusion made me smile, as the reality is that, if a system compromise has occurred, then the security surrounding IT has obviously failed. Never mind that 99.9 percent of the other times the IT defenses have worked. What this study really proves is that a multi-layered security defense strategy is the only way to go,” said Paul Kenyon, chief operating officer with the Windows privilege management specialist. “In taking a multi-layered security approach, IT security systems can help defend against today’s hybridized and multi-vectored technology aggression—ranging from a simple piece of virus malware, all the way through to a man-in-thebrowser blitzkrieg,” he added. And, he went on to say, while the primary aim of today’s at-

tacks is to monetize a cybercriminal fraud—or simply embarrass an organization, as illustrated by the latest politically motivated hacktivist attacks—defending against these technology barrages requires a well-planned strategy. That strategy, he explained, goes way beyond the simple use of AV software and needs to involve advanced technologies that include security privilege management— controlling who can use which software assets, as well as from what location and at what time. Put simply, Kenyon says, this means that if a hacker gains access to a general user account— which are in the majority—they have no admin privileges. Coupled with the aforementioned endpoint management controls, you then end up with the aim of a highly effective IT security strategy: a least risk environment. IT security issues are of interest due to the vast number of embedded devices connected to them.

© 2012 Logic Supp

8

Untitled-4 1

MARCH 2012 RTC MAGAZINE

3/6/12 9:54:15 AM


IS YOUR PRODUCT BEING HELD HOSTAGE BY A PrPMC EOL?

Don’t pay their ransom! You’re shipping a product that’s working just fine, thank you. And then your supplier comes along and tells you they’re EOLing your PrPMC board. Should this be your problem? NO! As the industry’s largest manufacturer of PrPMCs, X-ES is making a special offer to re-spin one of our 27 COTS PrPMCs to match your baseboard I/O requirements. Call us today to see if you qualify for a free re-spin.

You will see your PrPMC again. That’s Extreme.

Extreme Engineering Solutions 608.833.1155 www.xes-inc.com


SMALL FORM FACTOR

FORUM

Colin McCracken & Paul Rosenfeld

Embedded 2.0

W

ith another Embedded World show behind us and ESC (“Design West” now) upon us, it’s clear that the momentum behind small ARM-based modules is not going to slow any time soon. Quite the opposite; it is becoming the new frontier in the off-the-shelf board space. Like the “Wild West,” however, the shootouts and standards turf wars are not going to settle into law and order anytime soon. What is driving this trend? Before answering, it must be noted that RISC modules and SBCs targeting embedded computing have been around for more than 10 years from small to midsize vendors—ranging from DIMM-PCs to PC/104-style CPUs to non-bus-expandable SBCs to proprietary modules. Most of the shipments have been custom StrongARM and XScale boards derived from an off-the-shelf baseline. The sudden emergence of several large vendors jumping on the RISC bandwagon signals that it’s time for the broader market to start paying attention. The first of three trend drivers is the Tablet PC, a consumer product that provides efficient technology building blocks for embedded applications. Portable embedded computers continue to fan out into the market. By “portable” we mean systems that operate off a battery for hours at a time, rather than always being plugged into line power. Lightweight devices have been built around custom ARM designs with small batteries. Heavy devices such as forklift-mount or ruggedized soldier computers have been both standards-based and custom x86 designs for years. The explosion in consumer electronics has contributed to an enormous ARM system-on-chip (SoC) ecosystem for differentiated low-power single-chip solutions with sufficient graphics for decent-size LCDs. With a multitude of RISC-based embedded board-level product introductions each year, low-power RISC processors are furthering their penetration into the traditional x86 board space. But it’s far too soon to call this fight. The second trend driver is the establishment of an application platform. Best positioned as the next de facto standard, call it “Embedded 2.0,” is Android running on the ARM processor architecture. Key requirements include ease of obtaining the OS, tools, APIs, and development and debug tools. In the small form factor, long-lifecycle computing market, “Embedded 1.0” was x86 running DOS, and was subsequently updated to version 1.1

10

MARCH 2012 RTC MAGAZINE

running Windows and 1.2 running Linux. (Of course, real-time and network-protocol applications utilized different OSs and processors.) Late to the table but still poised to capture some of the embedded 2.0 RISC board market share is the heavyweight Windows 8. At this point it’s still to be seen how the modest performance of low-power processors will run the OS. Windows comes with its own CPU utilization, power consumption and even thermal design power (TDP) issues. The third trend driver comprises the board-level manufacturers who simply package processor manufacturers’ silicon reference designs, firmware and software in an appropriate way for widespread adaptation to the unique requirements of hundreds of embedded applications. Since there isn’t a one-size-fits-all design, there must be enough expansion interfaces or “hooks” to add application-specific I/O. Therein lies the rub. Computer-onmodule (COM) approaches have carved out a huge chunk of the SFF board space. For their RISC implementations, COM suppliers simply define what is easy and convenient for them to design and manufacture in high volume with the adaptation to a specific application as an exercise for the user. One must look past the board size and shape to understand not only how to attach I/O circuits to the minimal familiar “buses” provided, but also to realize that interoperability and future upgradeability is even more doomed than in the x86 COM space. For no two ARM SoCs are created equal. COM vendors don’t like to add cost or size in order to create a least common denominator of features. So the result is more reserved pins… “players to be named later,” which can be spoked out on a carrier board (untested) that will need to be spun again later in any event. And as usual, the sales strategy will be selling futures (multi-sourcing and upgradeability) under the guise of “future-proof” modules. While these new modules are indeed welcome as the next trial balloons to keep innovation healthy in the embedded market, don’t think that “Embedded 2.0” won’t be littered with dot releases and upgrades in the future. As with Embedded 1.x, the software is much more mature than the hardware due to the primary (consumer) market that is driving the software and silicon. Just plan for a litany of carrier board spins in your engineering budgets for year+1, year+2 and so on.


COM EXPRESS MODULES COM Express Type 2 Core Module

COM Express Type 6 Core Module

• Soldered Onboard Intel® Sandy Bridge Core-i7 or Celeron® Processor • Support Gigabit Ethernet • Dual Channels 24-bit LVDS, Analog RGB, and DisplayPort • Support Dual Independent Displays • Multi-expansion (4 x SATA, 1 x PATA, 8 x USB, 5 x PCIe x1 Lanes, 1 x PCIe x16 Lanes, 4 x PCI Masters, LPC Bus, and SPI Bus Interface) • RAID 0, 1, 5, 10 Supported • Extended Operating Temp.: -20 ~ 70°C

• Soldered Onboard Intel® Sandy Bridge Core-i7/i3 or Celeron® Processor • Support Gigabit Ethernet Port • Dual Channels 24-bit LVDS, Analog RGB, and 3 x DDI Ports • Support Dual Independent Displays • Multi-expansion (4 x SATA, 8 x USB, 7 x PCIe x1 Lanes, 1 x PCIe x16 Lanes, LPC Bus, and SPI Bus Interface) • RAID 0, 1, 5, 10 Supported • Extended Operating Temp.: -20 ~ 70°C

Arbor Solution, Inc.

Arbor Solution, Inc. Web: www.arbor.com.tw

Phone: (408) 452-8900 E-mail: info@arborsolution.com

Phone: (408) 452-8900 E-mail: info@arborsolution.com

Web: www.arbor.com.tw

Kontron ETXexpress®-SC - Quad Core Performance

Kontron microETXexpress®-OH – Advanced Graphics Support

• COM Express® basic, pin-out Types 2 and 6 • Based on Intel® Core™ i7/i5/i3 with QM67 • Up to 16GB DDR3 (ECC) system memory • New DDI graphic interfaces and optional USB 3.0

• COM Express® compact, pin-out Types 2 and 6 • Based on AMD G-Series APU and Fusion Controller Hub • Integrated graphics support for video playback & multi-display use

Kontron America

Kontron America Phone: (888) 294-4558 E-mail: info@us.kontron.com

Web: www.kontron.com

Phone: (888) 294-4558 E-mail: info@us.kontron.com

Kontron nanoETXexpress®-TT – Suited for Harsh Environments

CEQM67 Quad-core Performance

• COM Express® mini, pin-out Type 10 • Intel® Atom™ processor E6XX @ 600 MHz up to 1.6 GHz • Onboard system memory plus storage options • Industrial and Commercial temperature rated versions available

• Intel® Core™ i7 and i5 processor options • Mobile Intel® QM67 Express chipset • Dual-channel DDR3- up to 16GB • Type 6 COM Express, basic size • 7 PCI Express x1 ports and 1 x16 PEG port • 3 Digital Display Interfaces

Kontron America Phone: (888) 294-4558 E-mail: info@us.kontron.com

Web: www.kontron.com

Radisys Web: www.kontron.com

Phone: (800) 950-0044 E-mail: info@radisys.com

Web: www.radisys.com


editor’s report

editor’s report New Architectures for COM Modules

ARM-Based COM Modules? Let’s Take a Step Back and Look at This There is a movement underway to create standard COMtype modules based on the ARM processor, waking expectations of the kinds of scenarios associated with x86-based COM Express boards. Things are, however, looking to be somewhat more complicated given the nature of the ARM ecosystem. by Tom Williams, Editor-in-Chief

B

y now most people in this industry have become aware of a new trend in the form of ARM-based small form factor modules that are beginning to appear from a number of vendors. While ARM, along with major architectures like MIPS and the Power architecture, has been a major player in the embedded world for years, with ARM playing by far the major role, it is still significant that a non-x86 architecture is beginning to appear in what are by some being called COM modules. Other appearances to date have also been on previously x86-based Qseven boards, and we can expect more variants in the near future such as, perhaps, Mini-ITX SBCs and the like. There are arguments to be made that any of these three other architectures are technically more appropriate to embedded systems than the x86. Indeed, they are widely used in many embedded designs that are not based on a small form factor board—let alone a standard board—but more often where the processor is simply designed into the target system on a board

12

MARCH 2012 RTC MAGAZINE

along with other components such as memory and system peripherals. So the question arises, “Why is this happening now?” For years we have known that the economics of the PC market have tended to drive the embedded market, particularly the x86 segment. Technologies like ISA, PCI, PCI Express and USB garnered such widespread use and hence cost reduction in the PC world that they were adapted in the x86 embedded space. Software and development tools, often with enhancements for things like timing analysis, were easily adapted to the needs of embedded. The raw increase in processor speed has reduced the concerns about interrupt latency to a much smaller percentage of applications than before. The focus of attention in a great many systems today is no longer simply on raw performance but power consumption and heat dissipation for small mobile devices. It is here that ARM has a clear advantage over even the lowest-powered Atom processor. But there is something else at work too. It’s almost a parallel phenom-

Figure 1 One of the proposed form factors for an ARM-based COM module is a little over 6 inches in area and uses a 314-pin edge connector.

enon to the PC world that helped drive the acceptance of x86 in embedded, and that is ARM’s conquest of the tablet universe. ARM processors almost completely dominate tablet designs, and outside of the iPad, the most widely used operating system is Android. However, tablets, of course, are not built using ARM-based COM modules and so this analogy is far from perfect. It would appear that the advantage that COM brings to the x86 world will be difficult to transfer to the ARM arena—and may not even be desirable. x86 implementations come from a very limited number of sources and to date have not had a wide variety of on-chip peripherals. This is not the case with ARM, whose licensees have implemented a vast variety of designs with different mixes of peripherals and even widely different graphics coprocessors, power management schemes and more. The application software that you spent so many resources to develop for one ARM implementation is very unlikely to work unchanged with another ARM-based COM module that you plug in. Then there is the small matter of economics. One of the proposed COM modules is specified at 82 mm x 50 mm, which will be just a little over 6 square inches in area and have a 314-pin MXM 3.0 connector (Figure 1). This is starting to look like the basis for a very nice development kit, but for an end design? Given the difficulty of getting the same code to run on a different CPU, there will be less expectation of updating systems by substituting new CPU modules. What then is keeping the OEM from buying several such modules


editor’s report

for the development team but also leaving enough space on the final board design to accommodate the processor and memory? Rather than purchasing high volumes of COM modules with their relatively costly connectors, he can simply bulk order processors directly from the semiconductor vendor and build them right onto the target board. With the advent of this ARM-based world for small form factor modules there are still many questions—and be it noted—they are questions and not pronouncements. There may be answers forthcoming. However, answers often come only after the questions are asked. Another question concerns support. Most x86 vendors offer various levels of software support including a selection of operating systems, drivers and board support packages to help the OEM get rapidly up and running to add their unique application value. They can do this because there is an ecosystem of mature x86 software tools and operating systems out there—as there most definitely is for ARM as well. This might be an even playing field if it were simply generic x86 vs. “generic” ARM. However, one of ARM’s major attractions is that it is not “generic.” Where in the x86 world there is a trend toward putting certain functions such as graphics on-chip, there is also a definite interface between the CPU and peripherals; in the latest versions this is the hub chip. One of the major selling points of ARM is the fact that it approaches characteristics of an SoC with on-chip peripherals targeted at a specific application area. Support for a given COM module necessarily would involve support for that module’s on-chip peripherals. Support for an x86-based COM module need not deal with the specific peripherals, which are on the custom base board. Thus any vendor offering ARM-based COM modules will need to offer not only the operating system, the relatively easy part, but also the specific peripheral drivers. Now that won’t be that difficult either as long as the variety of COM modules being offered is relatively small. What is not yet clear is how these offerings are going to expand and develop. Will there be a greater selection of specific ARM im-

Figure 2 ARM-based SBCs, such as this Pico-ITX module, can be designed to support ARM processors and offer standard PC (plus other?) interfaces.

plementations, or will there be relatively generic offerings? There seem to be at least some plans for the former given the high pin count on the proposed connector. Since different vendors produce different implementations built around a solid ARM core such as the Cortex A-9, each vendor’s chip is going to have a different pin-out. Thus for each chip chosen for one of these modules there will have to be a specific board layout, but one that also leads specific signals to agreed-upon pins on the 314-pin MXM 3.0 connector—or in the case of Qseven, a 230-pin connector. For each unique set of signals, additional previously undefined pins will have to be assigned in addition to unique sets of traces for different vendors’ offerings. This could rapidly get very complicated and conceivably use up the available pin count on the connector. Conversely, offering only a limited selection of processor implementations on COM modules will work against one of the main advantages of using an implementation of ARM. Of course, the power consumption advantage will remain in any event. Then there are the designs of ARMbased SBCs, such as perhaps a Mini-ITX or Pico-ITX module (Figure 2). Here the question again arises as to what advantage that offers besides the doubtless attractive power consumption feature. SBCs such as Mini-ITX come with various mixtures of PC interfaces such as USB, DisplayPort, Ethernet, etc. Now the question arises as to whether unique signals will be added to

what had been a fairly standard PC-type form factor. Will such designs be appropriate for the recent Microsoft port of Windows to ARM? Will they be offered with Android as well as with several well-known RTOSs? All of these possibilities are much more straightforward than would be the case with COM modules because all the on-chip peripherals are at the same time onboard peripherals, so a complete platform could be offered ready for the application developer. Here again, however, the gain is in power and heat savings, but one does sacrifice the size advantage with even the smallest SBC form factor. So it is beginning to look like the small form factor module, which was a natural for the x86, is less of an easy fit that can accommodate ARM while preserving all the advantages it offers. In addition to power and heat savings, the big attraction is a processor that can be selected that is itself very close to the needs of the application and that can fit into a very small space on a compact board for low-power mobile applications. Still, we are already witnessing strong efforts to bring Android into a more generally applicable form for embedded devices. Android is closely associated with ARM, although ports to other architectures are starting to appear. It is extremely likely that ARM and Android will occupy an important space in this arena. Whether that will include ARM implementations on standard form factor modules is at this point less certain. RTC MAGAZINE MARCH 2012

13


Technology in

context

FPGAs Mix It Up with CPUs

FPGAs Mix it Up with CPUs— The Era of the SoC FPGA The convergence of many factors is enabling a new class of device for the embedded arena. The SoC FPGA combines powerful multicore processing on the same device with an FPGA fabric to optimize parallelism, power consumption and performance. by Chris Balough, Altera

S

emiconductor devices that inte22nm grate FPGA fabric, hardened CPU subsystems and other hardened 28nm ploration IP—SoC FPGAs—have launched a new 32nm your goal era that will lead to their broad prok directly liferation in the next decade, offering age, the 40nm source. many new options for system designers. 45nm ology, These SoC FPGAs complement the ded products cade-long availability of soft-core CPUs 65nm and other soft IP for building systems and earlier attempts at integrating hard 90nm CPUs on FPGAs. A mix of technical, business and market forces make this a 130nm tipping point, and vendors such as Altera, Cypress Semiconductor, Intel and 180nm nies providing solutions Xilinx havenow announced or shipped SoC ion into products, technologies and companies. Whether your goal is to research the latest FPGA devices.

The industry’s first decade of integrating FPGAs and CPU systems was marked by both success and failure. The initial SoC FPGAs, which featured hard CPU cores embedded in the FPGA fabric, met with limited commercial success. At the same time, the use of soft CPUs on FPGAs was broadly accepted, showing the fundamental market desire for the in-

End of Article Get Connected

with companies mentioned in this article. www.rtcmagazine.com/getconnected

14

22nm 28nm 32nm 40nm 45nm

Gap begins to emerge in 2005@90nm

ation Engineer, or jump to a company's technical page, the goal of Get Connected is to put you 2002 2003 you require for whatever type of technology, the Tipping Point for SoC and productsHitting you are searching for. Figure 1

FPGAs

Primary PLD Process Node

“TippingPoint” occurs @ 40nm as PLDs move 3+ nodes ahead of ASICs

65nm TECHNOLOGY GAP 90nm 130nm Primary ASIC Process Node

2004

2005

2006

2007

2008

2009

2010

180nm

2011

The divergence between primary programmable logic device technology and that used for ASICs has continued to grow.

tegration of FPGA and CPU technology. A variety of new factors is reshaping the environment, leading to a tipping point where SoC FPGAs will broadly proliferate in the market. These include basic physics and advances in process technology among other factors. One fundamental factor involves the economics of Moore’s Law. Keeping up with Moore’s Law is becoming more ex-

MARCH 2012 RTC MAGAZINE

Get Connected with companies mentioned in this article.

pensive. Fabrication facilities that build advanced CMOS semiconductors cost approximately $6B-$10B to build. Because it costs $40M to build a new semiconductor, a semiconductor must recover $100M of gross profit to operate in a typical profitable model where 20% of revenue is spent on R&D. At typical gross margins of 50%, a firm must capture a market of $200M or more. Outside of consumer electronics,


Modular Computing Solutions for Embedded Applications

High-Performance Application-Optimized X8DA6, C7SIM-Q, C7Q67

Compact Form Factor Short-Depth SuperServer® 5015A-EHF-D525 SuperServer® 5017C-LF

Small Form Factor X7SPE-H(F)-D525, X7SPA-H(F)-D525 X9SCV Series

Supports Intel® Xeon®, Core® i7/i5/i3 and Atom™ Processors Energy Efficient, Low Power and Fanless Solutions High Performance, Scalable Systems High-Density, Compact Form Factors Open Standard and Multi-OS Support Ruggedized and Industrial Grade Chassis 7- Year Life Cycle Support

Versatile, Whisper Quiet and Highly Configurable SuperServer® 5037C-i/T

Industrial PC Short-Depth SuperServer® 6046T-TUF

www.supermicro.com/Embedded © Super Micro Computer, Inc. Specifications subject to change without notice. Intel®, the Intel® logo, Xeon®, and Xeon® Inside, are trademarks or registered trademarks of Intel Corporation in the US and other countries. All other brands and names are the property of their respective owners.

SMCI-20120221- 1

t t t t t t t


technology in context

mobile handsets and PCs, there are few application markets of this size, making single-purpose, or fixed function, device investments difficult to justify. As advanced semiconductor costs grow even more in coming process technologies, this cost structure will make it even more difficult to economically justify building fixed-function semiconductors, suggesting that programmable technologies will see increasing investment, while fixed function devices, including specialized ASSPs and CPU derivatives, will see less. Because SoC FPGAs have the potential to serve many markets, they will be the target of growing investment levels. In the year 2000, state-of-the-art FPGAs were built on 130nm process technology, while state-of-the-art CPUs were built on 90nm process technology. Because more advanced CPUs were available, the appeal of the first generation of SoC FPGAs was somewhat dampened. Today, however, leading-edge FPGAs are targeting 28nm process technology, which relatively few commercial CPUs or ASSPs use, or are likely to use, in the near future. The process technology advantage of FPGAs significantly increases the market potential of—and the inclination of vendors to invest in—these integrated devices, as designers do not need to compromise on the CPU’s capabilities, as illustrated in Figure 1.

FPGA Adoption in Embedded Systems

In the year 2000 FPGAs were still relatively expensive for most embedded systems applications, and as a result were used less frequently than their CPLD or PAL counterparts. In the last decade, however, SRAM-based FPGAs enjoyed riding the CMOS cost reduction curve, such that annual embedded surveys state that nearly 50% of embedded systems also contain FPGAs. Because one of the major appeals of SoC FPGAs is their reduced cost compared to discrete implementations, there is a large native market for silicon vendors to achieve a return on investment. For embedded systems that already leverage programmable logic, the true value of an SoC FPGA is obvious. A

16

Untitled-3 1

MARCH 2012 RTC MAGAZINE

2/3/12 2:11:13 PM

critical element in the decision process is that developers who use an SoC FPGA already require programmable logic in their design. They require programmable logic for customization to create product differentiation, flexibility to support emerging standards, extend product life, and/or facilitate hardware updates in remote locations—or one of a dozen other reasons that justify the use of FPGAs today. These developers already have the tools and technical expertise to design customer hardware for FPGAs and clearly understand the benefits of this new class of device. With an SoC FPGA, system developers can bring several discrete devices, (CPUs, DSPs, peripherals for communication and household tasks, networking ICs and FPGAs) into a single chip, saving BOM costs, system board space and system power (Figure 2). The term embedded processing covers a broad spectrum of applications, from extremely cost-sensitive 4-bit processors, to extremely sophisticated multicore 64bit machines. Similarly, this application breadth has long supported a widely diverse and fragmented base of processor types, operating systems and software vendors. Yet that diversity is markedly different in the year 2011 as compared to the year 2000. For all its size and variety, the overall embedded market is shifting en masse to faster and more capable processors; for example, 16-bit microcontrollers give way to 32-bit CPUs. At the same time, support for 32-bit CPU families is consolidating around four widespread architectures: ARM, MIPS, PowerPC and x86. The reason for this consolidation is due mostly to software commonality and reuse. As a result, SoC FPGAs that incorporate one of these CPU architectures can address an intrinsically larger market, thereby adding more incentive for vendors to invest in this class of semiconductor. Processor performance is constantly improving due to architectural innovations and parallelization, providing excellent solutions for software-based elements of control and algorithmic uses. And while very high performance can be achieved by increasing processor paral-


LOOKING FOR EXTREME SOLUTIONS?

X Marks the Spot. At Extreme Engineering Solutions, you’ll find products that are designed from the ground up to handle any environment. From boards to integrated systems, our embedded solutions are rugged and reliable–ensuring your application is a success, no matter how extreme the conditions. Embedded solutions that always hit the mark. That’s the Extreme way.

Extreme Engineering Solutions 608.833.1155 www.xes-inc.com


technology in context

HPS i/O FPGA

HPS

Hard Processor System (HPS) ARM Cortex-A9 NEON/FPU L1 Cache

ARM Cortex-A9 NEON/FPU L1 Cache

L2 Cache

Hard Memory Controller 6.375 Gbps and 10.3125 Gbps Transceivers

USB OTG (x2)

Ethernet (x2)

GPIO

I2C (x2)

JTAG Debug/Trace

64 KB RAM

Timers (x11)

SPI (x2)

NAND Flash (1)(2)

QSPI Flash Ctrl

SD/SDIO/ MMC

DMA

UART (x2)

HPS to FPGA

FPGA to HPS

FPGA Configuration

Shared Multiport DDR SDRAM Controller(2)

Hard PCIe

Figure 2 A generic example of an SoC FPGA, sometimes also known as an application services platform (ASP), shows a dual-core hard processor system with its complement of hard peripherals on the same die with an FPGA fabric.

lelism, this comes at a cost of increased power consumption and complexity of mapping the desired function. True high performance can be achieved most efficiently by creating algorithm-specific solutions in FPGA fabric. A high-level programming language like OpenCL will support this by allowing synthesis from a high abstraction level down to optimized hardware acceleration. The need for power efficiency is also fueling a move to parallel and multicore computing. The evolution of computing has been and will be toward higher performance. Initially pursued

through increase of processor architecture efficiency and frequency, the increase in cost and power consumption of this strategy has reached a limit. Current strategies focus on parallelism, with the near-term focus on processors shifting from higher, single-core processing power toward multicore implementations. As part of this quest for higher computing performance at lower power, attention is moving toward using FPGA logic as hardware accelerators for CPUs. A monolithic SoC FPGA system maximizes power efficiency and soft-

ware partitioning flexibility. SoC FPGAs allow hundreds of data signals to connect different functional areas, thus enabling 100 gigabits per second (Gbit/s) bandwidth, or greater, with nanosecond-level latencies, representing orders of magnitude better performance and latency than discrete implementations. Furthermore, monolithic integration permits sharing of memory controllers, allowing high-bandwidth memory access for hardware accelerators. This increased performance and memory access enables the use of the FPGA for finer-grained accelerators to address a broad class of computing requirements. Because hardware accelerators can have more than 1,000 times the power efficiency of CPUs, designing for SoC FPGAs creates significant potential for an improved approach to power-efficient computing, beyond what simple multicore parallelism can achieve. To simplify implementation of accelerators, parallel programming languages that support cross-platform, parallel programming of heterogeneous systems, such as OpenCL, are gaining in popularity. Using OpenCL as a framework for parallel programming allows users to synthesize at a high abstraction level, very efficient data paths and compute elements with very high performance capabilities.

Multicore Debugging: Mix & Match

www.lauterbach.com 18

Untitled-6 1

MARCH 2012 RTC MAGAZINE

2/6/12 5:17:55 PM


technology in context

The Platform Effect

Products that have an adoption interrelationship between producer, user and ecosystem tend to exhibit what is called a network effect, or a platform effect. The basic tenet of the platform effect is that the more use a particular product or standard attracts, the more valuable it becomes to members of the user base and ecosystem. As a result, the members of the user base and ecosystem then invest more in the technology, thus attracting more use and creating a self-reinforcing cycle. Familiar examples include PCs, video recording formats and social networking sites. In general, product categories that have the innate possibility to operate in a self-reinforcing cycle have a strong tendency to evolve in the cycle, as each actor in an emerging product category looks to maximize ROI. As soon as a potential platform starts to gain momentum, it begins to attract investment, and thus the market dynamic can quickly shift toward that standard. SoC FPGAs have a strong likelihood to see this platform effect. As SoC FPGAs proliferate, there will be a strong desire for users to reuse their FPGA IP and design software knowhow across a wider variety of systems. For example, members of CPU ecosystems will prefer to learn as few FPGA development tools as possible, and CPU vendors will prefer to minimize the amount of FPGA development tools and technology they need to know. As a result, an SoC FPGA platform that supports multiple vendors and CPU architectures will be best positioned to trigger this platform effect investment, thereby creating the most advantages to customers and ecosystem members who join in its adoption.

technology benefits when evaluating system solutions. Altera has partnered with leading CPU vendors ARM, Intel and MIPS, to provide a common FPGA platform for SoC FPGA devices and soft CPU solutions. This partnership will allow the industry’s most widespread CPU architectures and their ecosystems to inherit the same advanced FPGA de-

sign flow, thereby maximizing the IP reuse and flexibility within this platform. This integrated approach creates a platform effect that will foster growth and development. Altera San Jose, CA. (408) 544-7000. [www.altera.com].

The Era of the SoC FPGA

The era of SoC FPGAs has begun. These devices have reached a tipping point driven by key economic, technical and market forces, and numerous vendors have already announced or are shipping. Executive management and system architects should carefully consider platform effect, IP reuse and FPGA process Untitled-19 1

19

2/3/12 3:55:28 PM RTC MAGAZINE MARCH 2012


Technology in

context

FPGAs Mix It Up with CPUs

Designing ASP-Type Devices: What Does it Take? ASP devices present unique and powerful capabilities to create costeffective, differentiated electronic products. The skills to design with these devices are not new, but you need to give some thought as to how best to combine them to efficiently complete your ASP project. by Greg Brown, Xilinx Inc.

A

n Application Services Platform, or ASP, a name coined by RTC Editorin-Chief Tom Williams, is a new class of IC that combines a CPU, a standard set of configurable peripherals and a programmable fabric—all on a single device. Because they combine logic programming with software programming, these new devices offer design teams the maximum flexibility, enabling users to rapidly develop unique functionality for whatever application they are targeting. At the same time, the combination of hardware and software on one device raises many questions about the nuts and bolts of programming. Let’s take a look at what skill sets are required to program ASP-type devices and how design teams can program and configure these devices. To set the stage, Figure 1 displays the block diagram for the Zynq-7000 Extensible Processing Platform (EPP), an ASP device built around dual ARM CortexA9 CPU cores and development architecture, with functionality that can expand by means of the device’s programmable logic resources. In order to better organize and describe the skills and design tasks required, let’s assume our ASP design team consists of the following members: system architects, software application developers, firmware developers, RTL developers and

20

MARCH 2012 RTC MAGAZINE

PCB designers. Each of these individuals brings a unique contribution to an ASP design project. Of course, the exact makeup and skill set of your design roster will vary depending on the size of your team and company. In many cases your design team members may wear many hats, or it may be you who wears all of them.

System Architects

System architects are primarily in charge of defining how the ASP will be used in the system and what functionality it will need to have. The ASP architecture requirements will vary greatly depending on the design but can include things such as secure or non-secure boot; configuration and storage architecture; field upgradability; OS and middleware selection; hardware/software partitioning and so on. System architects should become well versed in the capabilities and limitations of the targeted ASP device. Architects who have experience with processorbased ASICs or ASSPs are a real asset to an ASP project. In fact, they will find designing ASPs easier in comparison to ASIC designs, because with an ASP you don’t have to worry about the risks and costs associated with doing a full deepsubmicron IC design and fabrication. System architects are also in charge of defining what system functions will

go into software and which of them will go into the programmable logic. For this hardware/software partitioning, architects need to have a firm understanding of the various interfaces between the processing system, their latencies and bandwidths, as well as arbitration on the memory controller, quality-of-service, etc. Traffic patterns to and from the ASP—and how these are distributed within the ASP, both in the processing system and in the programmable logic—will need to be sorted out if you need to push not only the design architecture, but also the ASP architecture to its fullest capability. To make hardware/software partitioning decisions, you can employ a variety of techniques from spreadsheet-based models to advanced ESL tools. System architects may be working with a dedicated algorithm team, and understanding their environment, such as MathWorks MATLAB and Simulink, can be useful. Mapping algorithms to a partitioned implementation can require simulation skills and experience with homegrown or commercially available tools using C++, C, SystemC, etc. It’s very likely that advanced ASP projects will include a fantastic FPGA capability called dynamic partial reconfiguration, in which a portion of the programmable logic is reconfigured by the processing system while the entire


technology in context

I/O Peripherals 2x SPI 2x I2C 2x CAN 2x UART GPIO

I/O MUX (MIO)

Clock Generation

Extended MIO (EMIO)

Application Processor Unit (APU) SWDT TTC

MMU 32 KB I Cache

Cortex-A9 MPCore CPU

32 KB I Cache

DMA Sync

32 KB D Cache ACP

Snoop Control Unit 512 KB L2 Cache & Controller OCM Interconnect

256 KB OCM BootROM

DAP

General Purpose Master & Slave Ports

Cortex-A9 MPCore CPU

MMU

32 KB D Cache

GIC

CoreSight Components

Central Interconnect

NEON/FPU Engine

NEON/FPU Engine

DMA 8 Channel

2x USB with DMA

FLASH Memory Interfaces SRAM/NOR NAND Quad SPI

Processing System (PS)

System Level Control Regs

2x SD/SDIO with DMA

2x GigE with DMA

GTX (12.5 Gbps)

Reset

Memory Interfaces

DEVC

Programmable Logic to Memory Interconnect

Config AES/ SHA

IRQ High Performance Ports

AMBA Connection Legend Arrow direction shows control, Data flows both directions Configurable AXI3 32 bit / 64 bit AXI3 64 bit / AXI3 32 bit / AHB 32 bit / APB 32 bit

DDR2/3, LPDDR2 Controller

XADC

Programmable Logic (PL)

Select I/O

PCIe Gen2

Figure 1 The Xilinx Zynq-7000 Extensible Processing Platform is an example of an ASP device.

device is still running. This technique is very similar to the dynamic loading and unloading of software modules done in the software world. Dynamic partial reconfiguration enables teams to build very versatile systems that can reconfigure hardware to speed up tasks as they arise and time-multiplex the hardware to save on costs by using a smaller device. While this is an advanced technique and may not be needed for many designs, having an architect who understands it from both a device and design-tools perspective is a great advantage.

Software Application Developers

For the most part, software application developers who are using ASSP devices will well understand how to use the processing system portion of ASP devices. A well-designed ASP looks and feels like an ASSP. When using an OS, a standard board-support package should be available either from a vendor (ASP, OS, etc.) or from the firmware team. The same tools they are using for their ASSP should work

with the ASP as long as the CPU ISA and debug interface are consistent. To use the hardware acceleration capabilities of an ASP, the software application developers should be versed in how to analyze performance. They must also work with the folks who implement the accelerators (RTL developers) and do the hardware/software integration (firmware developers) as well as the system architects to determine what can and should be accelerated.

Firmware Developers

Firmware developers will need to work closely with the RTL developers on the custom blocks being created for the ASP to determine device driver requirements. They’ll also need to have a good understanding of the processing system hardware as well as how the entire device is being designed. They will need to know and define not only the processing system boot, but also how and when the ASP’s programmable logic bitstreams will be applied based on the system architecture.

They will also need to have knowledge of programmable logic configuration, and if the architecture uses techniques such as dynamic partial reconfiguration or secure boot/configuration, they will need to know how to manage them properly. In addition, firmware developers will need to determine how to use the static memory for processor boot code and any OS. They must also be familiar with the design’s bitstream storage requirements and mechanisms. In an ASP, OS code and programmable logic configuration bitstreams can be stored in locations other than a local static-memory device. Firmware developers must also develop a board-support package consisting of the boot code, drivers, the OS, etc. Any APIs that the ASP vendor supplies for the custom features need to be well understood, and may need to be incorporated into an OS or made available to a software application developer. Finally, firmware developers will also need a comprehensive background in using hardware and software debug tools. RTC MAGAZINE MARCH 2012

21


technology in context

Architecture Definition

Hardware/Software Partitioning and/or Co-Design

Software Development Software Architecture

Firmware Development Example BSP Middleware

Hardware Development

Base BSP

Hardware Architecture

Example Drivers

OS/RTOS Software Modeling & Code Generation

Hardware Modeling RTL Simulation & Synthesis

Custom Drivers

Soft IP Library

SW Debug Software Verification

Custom BSP

Software Image

SW Boot Image

HW/SW Co-Debug/ Simulation

Place/Route & Timing Closure

PCB Design

Programmable Logic Config Image Hardware/Software Image

Monolithic Image

Multiple Images

Figure 2 Example ASP Development Flow.

RTL Developers

RTL developers who have been developing for FPGAs will find no substantial differences when targeting the programmable logic portion of an ASP. As previously noted, dynamic partial reconfiguration is an emerging programmable logic technique that many companies may want to consider for their ASP designs. Therefore, it’s very helpful if the RTL developers have a good understanding of this design method as well as how and when to implement it. When defining a new project, RTL developers (and the rest of the team, for that matter) should sort out what types of simulation and debug capabilities are available and the impact of each on the development flow. There are many types of modeling, verification and debug tools and hardware available. Your team doesn’t need all of them, but they can be very helpful. Some of these include, but are not limited to, standard-interface bus functional models (BFMs) and processor system BFMs. One necessity, however, is RTL (HDL) simulation, and it’s a rare RLT developer who does not already use these

22

MARCH 2012 RTC MAGAZINE

tools today. Then there are a variety of processor system models as well as techniques for both hardware and software domains in SystemC and software virtual platforms. Other forms of modeling include hardware emulation or hardware/software co-simulation. The latter would run the processor system of the ASP on a development board at full speed and can be accessed by software debug and trace tools. The RTL for the programmable logic runs on an RTL simulator and is synchronized with the processing system hardware. This gives visibility into the RTL and the interfaces to the processor system. One thing that designers must consider is the JTAG topology. An ASP can support split or merged JTAG or both, depending on what the ASP vendor designed. In split JTAG, a dedicated JTAG interface is available to the processing system and one to the programmable logic. In merged JTAG, these two JTAG ports are daisy chained, as there is one physical interface. The distinction can be important for capabilities like co-debug: Can the various tools work over a single JTAG connection? RTL developers and firmware devel-

opers are the primary users of hardware/ software co-debug, while software application developers also should know how to use it.

PCB Designers

PCB designers typically find FPGAs a challenge to place on their boards, simply because of the flexibility of FPGA I/O assignment. For example, FPGA designers may move an I/O to improve overall FPGA design timing. This of course can wreak havoc on a PCB layout, and if done very late in the cycle, force the PCB designer to hustle to accommodate the new I/O scheme. Another common scenario is that the PCB layout is fixed and the FPGA developers must adapt to the fixed pinout for their device. With ASP devices, you have a merging of the flexibility of FPGA I/O pinouts, with the limited pinout flexibility (through muxed I/Os) and fixed pinouts (such as for DDR) of an ASSP. Both ASP and EDA vendors offer up-front pinplanning tools that can help the process and reduce risks of last-minute changes. Figure 2 illustrates a simplified ASP development flow.


technology in context

Programming and Configuring ASP Devices

Now that we’ve looked at the design team, skill sets and some of the tools required for an ASP project, let’s hone in on how to go about programming and configuring this new class of device. The job can be divided into three phases: development (or lab), production, and deployment (or field). During development, it’s useful to think of the ASP as consisting of three components: the processing system, the programmable logic and the ASP as a whole. Programming of the processing system component can proceed in exactly the same way as for an ASSP. You can program a flash device and boot from that, or use JTAG and run-time control tools to initialize and download code. Design teams can configure the programmable logic portion of the device in the same manner as a traditional FPGA with some minor exceptions. When the RTL developers want to download a bitstream and run it in the programmable logic, they can use the standard download cables over JTAG. They can then work with the ASP device in much the same way they work with a standard FPGA today. If the boot stream they want to use is part of the flash image, they need to boot the processing system and have the boot loader or application configure the programmable logic. They can then access the programmable logic portion via JTAG tools. In production, programming/configuration becomes system architecture dependent. For example, if the boot code, OS and bitstreams are all on local flash devices on the board, then the design team will use the standard mechanisms by which the company programs and manages flash. If the boot and OS are local flash images on a line card, and the programmable logic bitstreams are stored on a main board and in operation applied at startup to the line card or via PCIe, Ethernet, etc., then those facts need to be considered. Another thing to consider is the ability to customize the ASP design at shipment time rather than production time. For example, you can mass-produce the base product, which will have programming and configuration differences on a

Monolithic, Local Image boot.elf Add them all

boot.bin

pl.bit

Format it with header, partition blocks

NAND Image.bin

NOR

Boot & Config

QSPI

Multiple, Distributed Images boot.elf

boot.bin

Format it with header, partition blocks USB

pl.bit Other System

Ethernet

NAND Image.bin

NOR

Boot

QSPI PL Config

PCIe

Figure 3 Example Programming and Configuration Architecture Options.

per-customer basis. The system can be designed such that the boot loader on the flash can bring up the system for production test as well as read an SD card, for example. When an order is filled, the custom software and hardware (bitstream) images are stored on the SD card, which is then plugged into the system providing the end customer customizations to the base product. FPGAs have had a strong value for many industries in the ability to fix, upgrade and apply additional features for the hardware in the field and even remotely. (Who wants to send a technician to fix or upgrade a wireless access point in a remote region of the world?) Any processorbased system is capable of doing the same thing for software. ASPs have the capability of both. How they accomplish it depends on the storage mechanisms used (that is, flash writers, USB, SD, local vs. remote storage, etc.). Design teams have multiple mechanisms available and should take advantage of these when appropriate, reaping substantial economic and customer satisfaction benefits. Figure 3 illustrates two of the many possible options you can consider in such an architecture. If your design team has been designing processor-based ASICs or FPGAs with soft or hard processors, then you probably already have all the skills you’ll need. If a design team has been developing with two-chip solutions, defined in this context

as an ASSP plus connected FPGA, then you’ll need to gain an understanding of chip design at the functional and potentially the architectural levels. If you have only been using off-the-shelf ASSPs with no FPGAs, then you will have to acquire, develop or contract to have programmable logic skills available for your project. But even if you don’t have a huge team and all the associated skill sets, you can use an ASP to build those skills. With an ASP, you can test the waters, so to speak, by starting with a very straightforward implementation—building essentially your own specialized microcontroller-like device by simply dragging and dropping in peripheral IP provided by the ASP vendor. Say, for example, you need eight SPI ports, five UARTs, four I2C buses, etc. Drivers and OS support should all be included with the ASP software, which greatly simplifies the hardware and firmware tasks. As you develop or otherwise acquire expertise, you can build in more complexity and customized functions. ASP devices provide you not only with design customization flexibility, but also with flexibility as to when and how you take advantage of the different capabilities—you can adopt them as you see fit given many different parameters. Xilinx San Jose, CA. (408) 559-7778. [www.xilinx.com].

RTC MAGAZINE MARCH 2012

23


Technology

connected Bridging Form Factors

Introducing the EMX Form Factor— Bridging the Worlds of COM and SBC A new openly available specification aims at merging COM, SBC and stackable I/O into a unified, cost- and size-effective embedded computing form factor. by Jonathan Miller, Diamond Systems

F

5.0mm 3.040

or a number of years, the world of embedded computing has consisted EMX Expansion of two separate camps: single board Connector computers (SBCs) and computer-on-modules (COMs). Each product category has its own advantages and disadvantages: SBCs offer a complete off-the-shelf solution, while COMs offer performance scalEMX Form Factor EMX Basic ability and increased product longevity. 125x95mm Recently a new breed of SBCs has EMX Compact come into being: the COM-based SBC. 95x95mm This hybrid approach combines a COM, or M2.5 Mounting Holes in COM Express Computer-on-Module, for the CPU engine Configuration nies providing solutions now with a baseboard for the I/O and applicaion into products, companies. Whether your goal is to research the latest tiontechnologies interface.andThis two-board solution ation Engineer, or jump to a company's technical page, the goal of Get Connected is to put you provides a multitude of valuable benefits to 3.740 you require for whatever type of technology, 95.0mm instantfor.access to a wide range of and productsthe youuser: are searching 4.9210 interchangeable CPU modules, protection 125.0mm from processor technology obsolescence, Figure 1 scalability of product performance, and a favorable ratio of product size to features EMX mechanical drawing. The EMX form factor uses the Basic and Compact board dimensions as well as the hole geometry used by COM Express. (a two-board stacked solution occupies ½ the area of a single board containing the same circuitry, with typically a minimal SBC. Each vendor who offers a solution mond Systems has created Embedded Exsacrifice in height). has created their own design, using differ- press, or EMX. However until now there has been ent board shapes, different COM module no industry standard for the COM-based formats and different expansion schemes. Introducing EMX Since both vendors and customers benefit EMX defines a new standard for from the standardization of form factors COM-based SBCs around the most popGet Connected in order to reduce confusion and provide ular category of COMs: COM Express. with companies mentioned in this article. interoperability between products, Dia- The flexible design of EMX defines two www.rtcmagazine.com/getconnected

ploration your goal k directly age, the source. ology, d products

End of Article

24

MARCH 2012 RTC MAGAZINE

Get Connected with companies mentioned in this article.



technology connected

(A): Basic COM-based SBC showing (bottom to top) COM Express module, EMX baseboard, and EMX expansion module. EMX Compact COM Express carrier can be implemented with a similar concept.

(B): EMX Basic SBC with EMX expansion module.

Embedding Excellence MSC Embedded offers a large variety of COM products in COM ExpressTM, QsevenTM and ETX®. Our COM products are scalable, easy to install and powerful to enable long living industrial applications with an upgrade path to future needs. COM Express™ MSC CXB-6S Intel® CoreTM – 2nd Generation Multiple i5, i7 and Celeron processor options available Single, dual and quad core solutions Intel® HD Graphics 2000 / 3000 Intel® QM67 or HM65 Platform Controller Hub Up to 16GB DDR3 SDRAM, dual channel Four SATA-300 interfaces One PATA interface LVDS (24 Bit, dual channel) and VGA Resolution up to 2560 x 1600 Five PCI Express™ x1 lanes Eight USB 2.0 interfaces

V-2_2012-WOEI-5945_RTC

COM Express Type 2 125 mm x 95 mm (4.92 x 3.74”)

26

Untitled-6 1

MSC Embedded Inc. direct: (650) 616 4068 info@mscembedded.com www.mscembedded.com

MARCH 2012 RTC MAGAZINE

3/1/12 9:52:51 AM

(C): EMX Compact SBC with EMX expansion module.

Figure 2 In addition to being able to use an EMX SBC standalone or with EMX expansion modules, a standard COM Express module can be fitted with an EMX baseboard and then expanded further using EMX expansion modules as shown in these examples.

sizes of processor module, each of which is compatible with all expansion modules. The two sizes of EMX processor modules match the COM Express Basic (125 mm x 95 mm) and Compact (95 mm x 95 mm) form factors. CPU modules can be either Basic or Compact size, while I/O modules are always Compact size (Figure 1). Although EMX has been optimized for use with COM Express, an EMX SBC does not have to consist of a carrier + COM Express module. EMX CPU boards can also be single board products. The EMX Basic form factor is larger than PC/104 and is large enough to contain a full-featured high-performance processor circuit without violating the specification or making sacrifices in the feature set or connector scheme. The EMX Compact form factor is approximately the same size as PC/104 and provides a suitable size for many processor circuits.

EMX Expansion Module Scheme

Like other popular form factors, EMX uses a stacking method for I/O expansion. A primary motivation in creating EMX was to improve the efficiency of the expansion I/O method and reduce its impact on the SBC in terms of size and cost. Thus the existing stackable expansion connector options (PCIe/104 and SUMIT) were rejected in favor of a new, higher density connector. The expansion connector is smaller and lower cost than other standards, helping to reduce system cost and increase the availability of PCB area for processor and I/O circuitry. The connector is positioned further inside the board outline, freeing up more PCB coastline for I/O connectors. The result is that EMX I/O boards can fit more features onto them at a lower cost than competing boards of similar size. Since the PC/104 / ISM form factor uses a mounting hole geometry in-


technology connected

Feature

SUMIT A+B

PCIe/104 type 2

EMX

Pins

104

156

120

PCIe x1

3

4

4

PCIe x4 / x8 / x16

1/0/0

2/2/1

1/0/0

USB 2.0

4

2

3

USB 3.0

0

2

0

LPC

1

1

1

SATA

0

2

1

Other

SMBus, I2C, SPI/Microwire

SMBus

SMBus

Reserved pins

4

4

12

Miscellaneous

VBat, Wake-, ExpressCard

VBat, Wake-

VBat, Wake-, IOReady, GPIO

Center power tab

Yes

Yes

No

Bottom height

.426”

.426”

.160”

Stacking height

.6” / 15.24mm

.6” / 15.24mm

11-22mm

Support circuitry required

No

Lane shift multiplexor

No

PCB area

0.56 sq in

0.82 sq in

0.38 sq in

Cost (1K units)

$16.12

$18.23

$8.73

One Source For All COM Needs

Efficient, flexible, and scalable Computer-On-Modules and Carrier Boards for your unique requirements

CoreExpress®-ECO2 Atom™ E6xxT, 1.1 ... 1.6 GHz, 2 GB RAM, PCI Express, SDVO, 2 SATA Ports, GbE, LEMT

TABLE 1 Comparison of stacking expansion connectors.

compatible with COM Express, utilizing PCIe/104 or SUMIT for the I/O would have required the addition of four more mounting holes to the processor board, further consuming precious PCB area that could instead be used for processor or I/O circuitry. Thus the form factor for EMX I/O modules was defined to be the same as COM Express Compact, in order to use the same four mounting holes. Unlike other stackable SBC form factors, EMX defines expansion in one direction only—up. This leaves the bottom side free for a conduction-cooling scheme, consisting of a heat spreader that contacts all the heat-generating components and transfers that heat directly to the system enclosure. Stacking up only further preserves important PCB space on the bottom side of the processor board (Figure 2).

EMX Bus Connector

The EMX bus connector includes all the most popular expansion buses utilized by current and planned processors, chipsets and peripheral chips, ensuring compatibility long into the future. Furthermore, it contains ample reserved pins, ensuring a long lifetime for the standard by providing the capacity to incorporate new features as they become available.

EMX offers all these features in a compact connector requiring only 0.38 in2 / 2.5 cm 2, less than half the space required by other expansion methods. These features compare favorably to competing stacking expansion methods as shown in Table 1. EMX uses the Samtec BSH/BTH series of fine-pitch (0.5 mm), low-cost, high-speed connectors. This connector family has already been proven for use in high-speed, rugged embedded applications such as XR-DIMM rugged memory. It offers performance suitable for the needs of high-speed signaling technologies like PCI Express, USB and SATA. It is available in multiple heights ranging from 11 mm to 22 mm, offering additional flexibility to the board designer: A board requiring tall components can simply use a taller height connector, eliminating the need for mechanical tricks such as extender boards or connectors. The EMX connector pair has successfully passed shock and vibration testing according to MIL-STD202G standards, proving its suitability for rugged vehicle applications. The EMX connector set offers additional advantages over existing solutions. The board stacking height is fully contained in the top side connector, leaving

Untitled-9 1

Toucan-QM57 Core™ i7, 1.06 ... 2.53 GHz, 8 GB XR-DIMM, 2 Display Ports, PCI Express, 8 USB 2.0 host ports, GbE, RAID Support, LEMT Custom carrier board development LiPPERT not only delivers the Computer modules but also offers help when it comes to designing the required customized carrier board.

LEMT: Condition Monitoring for embedded PCs. View current and historical data, as well as device information. LiPPERT Embedded Computers Inc. 2220 Northmont Parkway Suite 250 Duluth, GA 30096 Phone +1 (770) 295 0031 Fax +1 (678) 417 6273 ussales@lippertembedded.com www.lippertembedded.com

RTC MAGAZINE MARCH 2012

27

1/5/12 9:22:21 AM


technology connected

the bottom side connector low profile for easier manufacturing, handling and packaging. The connector does not include any center power tabs, so it can be soldered by hand for reduced cost in prototyping and repair situations. Also, since I/O expansion is limited to one direction, the complex and space-consuming bus lane selection circuitry required by other expansion schemes is avoided, again helping to keep cost down and free up precious board space.

Example EMX Products

The first EMX SBC to reach the market is Altair, an EMX Basic format SBC based on the Intel E6xx (Tunnel Creek) processor family, ranging from 600 MHz up to 1.6 GHz (Figure 4). Altair offers processor, soldered-on memory up to 2 Gbyte, and a full suite of I/O features including Ethernet, SATA, USB, multiprotocol serial ports and PCI Express MiniCard socket. All I/O is provided on latching connectors for increased ruggedness. Altair utilizes conduction-cooling for efficient removal of heat from the processor and other high-power components, resulting in its ability to operate over the full industrial temperature range of -40° to +85°C. It provides 4 PCIe, 3 USB, SATA and LPC expansion on the EMX bus connector. To demonstrate the concept of a COM-based SBC in the EMX form factor, Diamond has also introduced the Vega family of products (Figure 5). Vega is a COM Express Basic format baseboard that is available standalone, allowing the customer to choose the COM Express module of their choice, or pre-integrated with any of several COM Express Type 2 modules, including Intel Atom Z510, Intel Core 2 Duo and Intel Core i7 “Sandy Bridge.” Vega brings out all the standard I/O available on the COM Express module, including Gigabit Ethernet, SATA, USB, VGA and LVDS. It augments that I/O with an additional Gigabit Ethernet, 4 multiprotocol serial ports, a wide range power supply, and a PCIe MiniCard socket. It also contains a complete autocalibrating data acquisition circuit with 16-bit A/D and D/A plus 24 GPIO lines. Vega also includes an intelligent system

28

MARCH 2012 RTC MAGAZINE

Figure 3 EMX uses a smaller, fine-pitch high-speed connector pair for board-to-board connections. Multiple stacking heights are available to support both tall components and ultra-compact systems.

Figure 4 Altair SBC in EMX Basic format, utilizing the Intel E6XX series processors.

controller with additional GPIO plus watchdog timer, wake on timer/calendar and MTBF counter. This extremely high level of features in such a small form factor is made possible only by utilizing a two-board sandwich consisting of the COM with its processor circuit on the bottom and the baseboard with its I/O on the top, plus the efficiency gained from the ultra-compact EMX connector and the reuse of the COM Express mounting holes for I/O module mounting. This level of feature density is a key benefit of EMX format COM-based SBCs. In order to create a full product family with expansion options, Diamond has introduced the ESG series of I/O modules, featuring dual Gigabit Ethernet, 6 multiprotocol serial ports, 24 GPIO and GPS receiver. The series is available in various combinations, giving the customer a choice of low cost or high feature density.

A second EMX I/O module is available in the form of a FeaturePak module carrier. FeaturePak is an ultra-compact PCI Express-based I/O module form factor that provides up to 100 I/O pins in a single module. The EMX FeaturePak carrier module can be used with any of a number of FeaturePak I/O modules currently available from multiple vendors: Analog I/O, digital I/O and serial ports.

EMX Benefits

By integrating COM Express modules and a new, efficient stacking I/O connector into a standardized shape, EMX offers a significant range of advantages to both vendors and customers over existing small form factor embedded computers. This does not require waiting for the evolution of a widespread EMX ecosystem. SBC customers who choose EMX COM-based SBCs enjoy earlier access to new CPU technology, longer product lifetimes, wider choice of processors and better protection from CPU obsolescence, and performance scalability—all without having to design a carrier board. Therefore an OEM who designs a system around an EMX baseboard can theoretically choose any COM module that’s compatible with the baseboard, so if a new fancy one comes out, he can use it right away without waiting for some slowpoke SBC vendor to design an SBC in his particular form factor. There only needs to be one baseboard out there for this benefit to exist. COM Express vendors don’t need to do anything or formally adopt EMX to make it happen. The performance scalability offered by COM Express is preserved as are longer lifetime and easier migration. A customer can select a range of COMs to use with the same baseboard, providing a range of performance, price and power levels with the exact same I/O and connector configuration. When one COM becomes obsolete, it can be easily replaced with another, while the baseboard, its connections to the customer’s application, and the mechanical system configuration remain exactly the same. Product lifetimes are greatly enhanced, while migration efforts are significantly reduced. The size of EMX Basic is larger



´1RZ LQWHOOLJHQW FRQQHFWHG GHYLFHV FDQ EHQHÀW PRUH WKDQ HYHU IURP ,QWHO $WRP SURFHVVRUV µ 3UDQDY 0HKWD 6HQLRU 3ULQFLSDO (QJLQHHU DQG &72 ,QWHO (PEHGGHG DQG &RPPXQLFDWLRQV *URXS

2QH VPDOO 6R& 1RZ HPEHGGHG ZLWK ELJJHU LGHDV 'R PRUH ZLWK WKH 1HZ ,QWHO $WRP SURFHVVRU ( [[ VHULHV EDVHG SODWIRUP 1RZ ZLWK HQKDQFHG +' YLGHR FDSWXUH DQG GLVSOD\ FDSDELOLW\ DQG VXSSRUW IRU PRUH 26V

'XDO +' 'LVSOD\ +DUGZDUH DFFHOHUDWHG YLGHR HQFRGLQJ IRU GULYLQJ KLJK GHÀQLWLRQ GXDO S GLVSOD\V

6$7$

([SDQGHG FRPSDWLELOLW\ ZLWK 6HULDO $7$ GHYLFHV

26

0LFURVRIW :LQGRZV (PEHGGHG 6WDQGDUG :LQGRZV (PEHGGHG &RPSDFW DQG $QGURLG

:H WRRN RXU ÀUVW ,QWHO $WRP EDVHG SURFHVVRU DQG PDGH LW HYHQ PRUH FDSDEOH $QG VWLOO UHWDLQHG WKH ÁH[LELOLW\ RI D VWDQGDUG 3&, ([SUHVV LQWHUFRQQHFW WKDW OHWV \RX SLFN DQG FKRRVH , 2 KXEV SURSULHWDU\ $6,&V RU GLVFUHWH GHYLFHV $V ZHOO DV VXSSRUW IRU HPEHGGHG RSHUDWLQJ V\VWHPV LQFOXGLQJ $QGURLG 0HH*R )HGRUD DQG 0LFURVRIW :LQGRZV ;3 (PEHGGHG 6WDQGDUG (PEHGGHG &RPSDFW DQG :LQGRZV (PEHGGHG 6WDQGDUG $OO LQ D UXJJHGL]HG VPDOO IRRWSULQW SURFHVVRU ZLWK DQ LQGXVWULDO WHPSHUDWXUH UDQJH & WR & DQG ORZ GHIHFWV SHU PLOOLRQ '30

7KLQN ELJ *HW VWDUWHG /($51 025( $7 ! HGF LQWHO FRP JR DWRP H [[

,QWHO &RUSRUDWLRQ ,QWHO WKH ,QWHO ORJR DQG WKH ,QWHO (PEHGGHG ORJR DUH WUDGHPDUNV RI ,QWHO &RUSRUDWLRQ LQ WKH 8 6 DQG RU RWKHU FRXQWULHV 6RIWZDUH DQG ZRUNORDGV XVHG LQ SHUIRUPDQFH WHVWV PD\ KDYH EHHQ RSWLPL]HG IRU SHUIRUPDQFH RQO\ RQ ,QWHO PLFURSURFHVVRUV 3HUIRUPDQFH WHVWV VXFK DV 6<6PDUN DQG 0RELOH0DUN DUH PHDVXUHG XVLQJ VSHFLÀF FRPSXWHU V\VWHPV FRPSRQHQWV VRIWZDUH RSHUDWLRQV DQG IXQFWLRQV $Q\ FKDQJH WR DQ\ RI WKRVH IDFWRUV PD\ FDXVH WKH UHVXOWV WR YDU\ <RX VKRXOG FRQVXOW RWKHU LQIRUPDWLRQ DQG SHUIRUPDQFH WHVWV WR DVVLVW \RX LQ IXOO\ HYDOXDWLQJ \RXU FRQWHPSODWHG SXUFKDVHV LQFOXGLQJ WKH SHUIRUPDQFH RI WKDW SURGXFW ZKHQ FRPELQHG ZLWK RWKHU SURGXFWV 2WKHU QDPHV DQG EUDQGV PD\ EH FODLPHG DV WKH SURSHUW\ RI RWKHUV


Sharpen your engineering skills

with Intel® at RTECC Real-Time & Embedded Computing Conference May 15, 2012 Boston, MA

Morning & Afternoon Sessions Plus Hands-On Lab

Seating Is Limited

Intel® Boot Loader Development Kit (BLDK) for Embedded Systems

Complete Agenda Available Online

Start with an overview of BLDK and complete your training with a Hands-on Lab In the hands-on lab you will learn how to: • Create a Boot Loader Development Kit (BLDK) Project • Build a Firmware Image Using Windows Hosted Tools • Boot an E6XX Systems to UEFI Shell & Explore the Various Options • Update E6XX Firmware from UEFI Shell

Register today at www.rtecc.com/boston

See what’s on the schedule at www.rtecc.com/boston

May 15, 2012 2012 Locations 5/15 RTECC Boston 8/21 RTECC Irvine

Attendees who complete the class will be entered in a drawing for an Intel® Atom™ Processor E6xx System (a $300 value)



technology connected

than PC/104, so designers of EMX SBCs can fit more processor and I/O circuitry onto the board without resorting to shortcuts or form factor extensions. Customers can be assured that boards that will fit into their systems without worrying about non-standard board shapes and sizes. In addition, the EMX expansion connector is optimized for size, cost, interconnectivity, PCB area and PCB coastline, plus it contains sufficient reserved pins for future upgrades. EMX therefore offers greater economy, higher functional density and longer potential lifetime than other stackable I/O formats. EMX enables COM module vendors to serve traditional SBC customers by offering a complete solution with no baseboard design required. EMX baseboards make convenient COM Express evaluation platforms and can be the starting point for full-custom solutions for many highvolume COM applications. Since EMX offers the ability to use COM modules as the computing engine, it eliminates the need for traditional SBC vendors to design complex processor circuits, enabling them to get to market faster with a wider range of products, as well as providing longer lifetime and greater return on investment for their products. This combination of benefits provides a compelling reason for embed-

Figure 5 Vega baseboard with COM module and heat spreader attached. Further EMX upward expansion takes place via the EMX connector on the Vega board, which can connect to a standard COM module via the Type-2 connector.

ded computing vendors and customers to utilize EMX as the form factor of choice for new small form factor product development and applications. To encourage adoption by the industry at large, EMX is available as an open standard without any royalties or license fees. More infor-

mation about the new EMX standard is available here. Diamond Systems Mountain View, CA. (650) 810-2500. [www.diamondsystems.com].

Efficient Real-Time Data Transport Solutions for Sensors and Radars Without Compromises AdvancedIO Systems is shipping the world’s most advanced solution for streaming data directly from sensors onto 10GE networks. Our leading connectivity solutions will move your data reliably at 10Gbps rate and at near zero latency.

- Ruggedized and air-cooled COTS solutions - Available in XMC and PCI Express form factors - Deployed in mission-critical programs - Flexible end-to-end solution to meet all your connectivity requirements

Sensor

Processing 10GE Network

V1121 Call us today and let us work with you on your next connectivity need. Toll Free: 877.331.7755 contactus@advancedIO.com Untitled-17 1

V5022

www.advancedIO.com/defense

33

2/3/12 3:49:42 PM RTC MAGAZINE MARCH 2012


technology in

systems Embedded Windows

Windows Embedded POSReady 7: The Right Choice for Digital Signage Digital signage is a burgeoning embedded market with unique systems needs. A specially tuned version of Windows Embedded can help developers meet the interactive, connected demands found in the retail space. by John Lisherness, Avnet

O

on the availability of products and n July 1, 2011, Microsoft released services their newest Embedded Operat• Sales and services transaction proing System, POSReady 7. The ploration cessing previous versions of POSReady were your goal • Scanning and tracking inventory well accepted and hold a dominant k directly marketshare position within cash regisage, the source. There is a lot more information in the ters and other point-of-sale systems. It’s ology, ALTs, but it is noteworthy that the ALTs not surprising then that most OEMs asd products explicitly list digital signage, one of the sume that the POS in POSReady stands fastest growing verticals in the electronfor “point of sale.” However, Microsoft ics industry. According to Digital Signage views this acronym differently and reToday, the global market for digital sigdefines POS as “point of service.” This nage will grow from nearly $1.3 billion in distinction opens the door for POS2010 to almost $4.5 billion in 2016. Ready to be used in a broader range of Putting aside the name issue, and embedded systems. nies providing solutions now with a better understanding of where it In its “Additional Licensing Terms” ion into products, technologies and companies. Whether your goal is to research the latest can be used, we can now dig into what (ALTs), Microsoft specifies where POSation Engineer, or jump to a company's technical page, the goal of Get Connected is to put you makes POSReady 7 a desirable product Ready 7 can be used. The ALTs define the you require for whatever type of technology, for digital signage. rights and productsdistribution you are searching for. and restrictions specific First, there is the bottom line—cost. to the different Microsoft Embedded OpPOSReady 7 costs around $100. The erating Systems. Within the ten pages of POSReady 7’s ALTs, a section states that typical non-embedded alternative to POSthis operating system can be used in em- Ready 7 would be Windows 7 Professional OEM, which costs around $135 per bedded systems for: runtime license, and Windows 7 Ultimate • Digital signage • Recording and/or transmitting cus- OEM runs at around $180. Feature-wise, POSReady 7 aligns tomer information most closely with Windows 7 Ultimate. • Information and transaction kiosks • Providing information to customers One of the features POSReady 7 and Windows 7 Ultimate have in common is DirectAccess. DirectAccess acts like an Get Connected automatic and always-on virtual private with companies mentioned in this article. network (VPN). When a client device www.rtcmagazine.com/getconnected

End of Article

34

MARCH 2012 RTC MAGAZINE

Get Connected with companies mentioned in this article.

with DirectAccess connects to the Internet, it automatically makes a secure connection to the OEM’s server. Unlike a VPN, DirectAccess enables a remote system to boot up and connect with the server unattended. It can then be managed and receive content and exchange encrypted data with the server. This is critical for the digital signage market because secure, encrypted communication has become more important as digital signs have moved on beyond just indiscriminately displaying content. Digital signage systems are now able to gather information about the user, and the user is able to interact more with the system. At the 2011 National Retail Federation show in New York, people crowded the Microsoft booth when they found out that the booth had cameraequipped systems that identified the gender and approximate age of people as they entered the booth. The system was uncanny in its accuracy. Imagine a sign presenting content customized to each user’s demographic and the user being able to interact via touch screen or a Kinect detected gesture to gather specific information, or even make a purchase using a near-field communication (NFC) equipped phone. Beyond the advanced features found in Windows 7 Ultimate, POSReady 7 has


tech in systems

EWFMGR.exe EWF API Applications

Filesystem Enhanced Write Filter (EWF.sys) Partition Manager (PartMgr.sys)

User Mode Kernel Mode IRP_MJ_Device_Control

EWF Volume

Fault-Tolerant Disk Driver (ftdisk.sys)

Disk Class Driver (disk.sys)

EWF NTLDR Local Disk

Figure 1 Enhanced Write Filter (EWF) is an upper filter driver in the volume stack. It is located between file systems and the class drivers that interface with physical disks. ReadData (On-disk)

Application

Write Data (Overlay) ReadData (Overlay)

Read/Write

some valuable features that are only available in Microsoft embedded operating systems known as “Embedded Enabling Features” (EEFs). Two of the most noteworthy EEFs are “Enhanced Write Filtering” (EWF) and “Hibernate Once Resume Many” (HORM). Digital signs are typically turned off by cutting the power. Virtually everyone knows not to turn off an office desktop system by pulling the plug. On a running system, there’s chatter between the operating system and the hard drive, and a power failure can cause an interrupted write-inprogress to corrupt a file that is vital to the operating system. Unlike an office desktop PC, digital signage systems are almost always systems that are remotely managed, without someone at the system hooked up with an extra monitor, mouse and keyboard who can signal the system to gracefully shut down. The challenge is how to prevent power outages from corrupting the operating system on a digital sign. The answer is EWF, an EEF in POSReady 7 (Figure 1). EWF uses a RAM overlay as a substitute for the boot volume. By using EWF, the boot volume becomes read-only and never changes. At power down, any changes recorded in the RAM overlay are lost with the boot volume reverting back to the settings from when the system was last booted. EWF management tools make it possible to permanently change the boot volume either by turning off EWF before the next boot, or by performing a “live commit” command (Figure 2). Another EEF made possible with EWF is Hibernate Once Resume Many (HORM). While office desktop and laptop users are accustomed to having to wait a few minutes for the system to “wake-up,” people turning on digital signs expect them to function within seconds after the power is turned on. Hibernate Once Resume Many is much like the hibernate function on a laptop. When you put a

Overlay Volume

Figure 2 EWF uses a RAM overlay to prevent the boot volume of the hard drive from being written to. This prevents OS corruption that can happen during abrupt power failures. It also allows for Hibernate Once Resume Many (HORM).

laptop into hibernation, it creates a snapshot of what is running into a “hiberfile” before shutting down. Then at boot-up, the hiberfile is used to bypass the typical lengthy cold-start boot process. Since the EWF volume never changes, it can have a ready-made hiberfile allowing it to boot quickly. Unlike most systems that boot slower and slower over time, the boot time never changes.

EWF can protect the boot volume from getting corrupted from unexpected power outages, but it raises a question about remote management. How can system administrators update or even replace the boot volume remotely if the changes only go into a RAM overlay and are lost during the next power cycle? With this in mind, Microsoft introduced Windows Embedded Device Manager 2011 (WEDM). WEDM consists of client software that can be added to POSReady 7 or Windows Embedded Standard systems, and a server plug-in that installs into the System Center Configuration Manager (SCCM) 2007. Virtually anyone who is connected to a corporate network is familiar with how their system is managed over the network. Software updates and operating system policies are often managed using SCCM as the “Single Pane of Glass Management” solution. Without the Windows Embedded Device Manager plug-in, systems with embedded operating systems are undifferentiated from the other non-embedded desktops or laptops on the network. With WEDM installed on both client and server, the clients with the embedded operating systems are recognized and can be placed into specific Windows Embedded device collections, aggregating similar devices into manageable groups, such as digital signs. The WEDM plug-in has tools that allow the system administrator to remotely turn on and off write filtering to make permanent changes to the remote systems, including the ability to re-image the device entirely. POSReady 7 also has an EEF called “Dialog Box Filter” that can prevent dialogs or unwanted windows from popping up on the screen by pre-assigning an action to be taken on an anticipated box button before it even gets to the screen. If the system pictured in Figure 3 had been using POSReady 7 with the dialog box filter, this pop-up would have been intercepted RTC MAGAZINE MARCH 2012

35


Tech In Systems

Figure 3 Customers interacting with digital signage do not need to see system dialog boxes that may appear on the display. A method for predefining actions that may be taken on system exceptions will prevent their appearance in the retail environment.

and answered before it even appeared on the screen. POSReady 7 also works well for digital signage because it does not require activation. When non-embedded versions of XP or Windows 7 are installed on a computer for the first time, the installation requires the installer to type in a string of 25 letters and numbers known as a Product ID (PID) or activation key. After the system starts and connects to the Internet, that PID and information unique to the hardware on which the

operating system is installed is sent to Microsoft and the operating system becomes permanently “unlocked.” If the PID was used before on another system, it does not “unlock,” and the operating system will fail to operate. With POSReady 7 and Windows Embedded Standard 7, a single activation key can be obtained from Microsoft that can be pre-installed into the system’s image. The configured operating system can then be loaded “unlocked” onto any number of systems, and they will never have to be individually activated. This is extremely helpful when you are managing thousands of signs across hundreds of locations. While POSReady 7 has a solid feature set for digital signage, there are also some alternatives to it if this full of a feature set is not needed or if cost is a factor. Windows Embedded Standard 7 (WES7) has all the EEFs of POSReady 7. This componentized version of Windows 7 requires a $995 software toolkit for OEMs to create a unique image that can be scaled in size and functionality. Because POSReady 7 is not componentized, it can be installed directly onto a system without having to purchase a toolkit. The “E” version of WES7 is around $90 per runtime, $10 less than POSReady 7. The “E” version of WES7 does not have the advanced security features, including DirectAc-

cess found in Windows 7 Ultimate and POSReady 7. Nor does the “E” version have Multi-touch for pinch and zoom, an advanced touch feature popularized on many smartphones. If individual runtime cost is the primary concern, and the sign does not need DirectAccess or Multi-touch, WES7 E might be a lower cost alternative. OEMs interested in incorporating POSReady 7 into their digital signage solution will find that the Windows Embedded products are much more restricted in their distribution. Any OEM wanting to purchase POSReady 7 or any other Microsoft Embedded Operating System is required to sign an OEM Customer License Agreement (CLA) with Microsoft. The primary purpose of the CLA is to make sure that embedded runtime licenses do not wind up on systems that could be used as general-purpose PCs. Simply put, Microsoft doesn’t want their lower cost, longer life embedded products cannibalizing their high volume general purpose PC operating system sales. OEMs can get the CLA and ALTs for Microsoft Embedded products through the Microsoft Embedded distributors. Avnet Phoenix, AZ. (480) 643-2000. [www.avnet.com].

Small Form Factor SIG & Wireless Network Showcase

Featuring the latest in Small Form Factor SIG & Wireless Network technologies MM2 ESMini Ultra-Small COM

USB Wi-Fi Modules 802.11b/g/n Compliant

MEN Micro’s ESMini series now includes the MM2, an ultra-small computer-on-module (COM) that features an application-specific carrier board, an Intel Atom E600 series processor, an EMC-proof enclosure and is only 95mm by 55mm, making the board ideal for avionics, railway, agricultural or construction machines, medical engineering and industrial automation applications.

MEN Micro Phone: (215) 542-9575 Fax: (215) 542-9577

36

MARCH 2012 RTC MAGAZINE

Radicom Research, Inc. E-mail: sales@menmicro. com Web: www.menmicro.com

Phone: (408) 383-9006 Fax: (408) 383-9007

USB 2.0 hot swappable interface Compatible with USB1.1 and USB2.0 host controllers Up to 300Mbps receive and 150Mbps transmit rate using 40MHz bandwidth Up to 150Mbps receive and 75Mbps transmit rate using 20MHz bandwidth 1 x 2 MIMO technology for exceptional reception and throughput 2 U.FL TX/RX antenna ports Wi-Fi security using WEP, WPA and WPA2 Compact size: 1.0” x 1.0” x 0.25” (Modules) E-mail: sales@radi.com Web: www.radi.com



technology in

systems Embedded Windows

Embedded Systems and Digital Signage: A Natural Fit With the growing popularity of digital signage, signs are now evolving into intelligent, networked embedded systems that can take advantage of function-rich modular operating systems. by Larry Allen, Bsquare

W

hen it comes to digital signage, the present looks nothing like the past. Traditionally, these devices, in the form of billboards, kiosks and monploration itors, were simple electronic screens with your goal pre-recorded information designed to enk directly courage customers to buy their products. age, the source. To create those digital signs, developers ology, and system builders used standard operatd products ing systems, which did a good enough job to meet requirements. Today, digital signs have evolved into interactive, multimedia devices that provide customers with information, coupons, directions and more. These devices are much more intelligent than the prenies providing solutions now enabling users to view vious generation, ion into products, technologies andperform companies.many Whether your goal is to research the latest documents and other tasks ation Engineer, or jump to a company's technical page, the goal of Get Connected is to put you that used to be confined to the desktop. you require for whatever type of technology, Digital signs, and products you are searching for. which include displays Figure 1 in shopping markets, airports, buses, escalators, roadways and other areas, are A relatively simple form of digital signage is that found in most airports where gaining popularity quickly. According flight information can be constantly updated from a central database. to recent estimates from Intel Corp., the world will have 22 million digital signs target sales at the retail point of pur- dynamically to unique customer deby 2015. chase. They allow customers to interact mands and traits. There are many good reasons for through images, sound and other visuAnother driver in the growth of this rapid growth. Digital signs can als, using capabilities like touch, ges- digital signage is increased procesbring businesses closer to their custom- ture and mobile interactivity in media sor speed. Today’s multicore procesers more quickly and enable them to that are familiar to customers, such as sors bring supercomputer-type power text and video. And they can operate at a very low price, opening markets virtually anywhere—in elevators, roads to other applications and wider use. Get Connected and stores (Figure 1). All of this creates Multicore processors expand the realm with companies mentioned in this article. a cost-effective, flexible way to respond of the possible and provide more realwww.rtcmagazine.com/getconnected

End of Article

38

MARCH 2012 RTC MAGAZINE

Get Connected with companies mentioned in this article.


Medical Electronic Devices Are Changing the Way We Live. Be Part of the Action!

BRINGING TOGETHER ENGINEERS & DEVELOPERS TO LEARN, ENGAGE AND COLLABORATE ON SOLUTIONS TO POWER THE NEXT ERA OF HEALTHCARE. Free Technical Conference · Keynote Address · Exhibition · Seminars/Workshops · New Product Showcase · Networking

Upcoming Events

April 26th Minneapolis, MN May 15th Boston, MA

Colocated with the REAL-TIME & EMBEDDED COMPUTING CONFERENCE


Tech In Systems

Figure 2 Digital signage such as that used in kiosks is becoming increasingly interactive with the passing user and as a result carries increasing amounts of information.

time capabilities—perfect for digital signage applications. Witness these examples: A family driving on the highway sees a digital sign for a restaurant. The car’s GPS system communicates with the digital sign, providing the family with directions, a menu and the ability to place an order that will be ready when they arrive. A commuter sees a series of digital signs in his train car that change as the train moves, highlighting activities and venues that are coming up in the next few miles. A woman filling her gas tank can interact with the pump, using her handheld device to pay for the gas. A teenager uses a touchscreen to choose a beverage from a smart vending machine. The choice is transmitted through the vendor’s network to capture customer usage data, used to drive product management and brand development. As a woman pushes a “smart” shopping cart through a store, she receives targeted coupons and incentives on her smartphone based on her past buying behavior and shopping list.

Driving Digital Signage Success with Embedded

When it comes to digital signage, retailers and service providers have specific requirements and needs that can make development challenging—things like fast time-to-market, low cost and full interactivity. And they want it all in the form factor they require, with the features they want, in a way that allows customers to act and react to their messages in a timely fashion.

40

MARCH 2012 RTC MAGAZINE

These requirements make the traditional method of development using standard operating systems slow, unwieldy and inefficient, not to mention cost-prohibitive. Instead, developers and system builders are turning to embedded systems for digital signage applications. Embedded product solutions from Microsoft and other vendors provide developers with a complete suite of tools that simplify and fine-tune the development process. The Windows Embedded product line also ties in seamlessly with servers and other peripherals. For example, Microsoft’s Windows 7, Windows Embedded Standard 7 and Windows Embedded POSReady 7 all have features built into them that make digital signage and kiosk development more intuitive. By basing digital signage development on an embedded operating system, developers and system builders avoid having to start from ground zero. That’s because these embedded operating systems provide a complete suite of tools that allow the developer to focus more on what they want to show, and not how to provide the capability. Because these embedded system products have functionality built in—features that digital signage customers want like multi-language packs; all audio and video drivers for MP4, video technologies and 3D images; capabilities for various connectivity options like Bluetooth and RF; and much more, developers can simply choose the functions they need to embed into the device they are building. The embedded system puts you in control of what information is displayed. Due to the high level of customization and control, end users are often completely unaware of the operating system the device is built on, they only interact with the custom application or interface designed by the developer. One example is error message suppression. Normally, error messages are displayed on a screen when something unusual occurs. But in applications like digital signs, which are visible to the customer, the system builder may choose to suppress those error messages.

Embedded Enabling Features

Embedded technology also allows developers and system builders to give

customers what they demand—intuitive graphical user interfaces, a smaller number of buttons (only what’s required to operate the application and nothing more), multi-touch screens, instant-on connectivity, simplicity of use and solid security. Embedded technology enables visual interactions that are intuitive for customers and far superior to older, more labor-intensive methods like data entry. For example, the newest style of checkin kiosks incorporate complex actions behind the scenes, but the experience delivered to the customer is a very simple, direct navigation with limited choices. The easy-to-use format encourages users to interact with the systems more frequently (Figure 2). Developers and system builders can take advantage of a full set of embedded enabling features that bolster security through the use of encryption for data protection, as well as advanced features for power management. The Hibernate Once Resume Many (HORM) function saves an initial snapshot of the operating system. When restarted, the operating system resumes at the same snapshot every time. For example, a kiosk would start at the “Check in here” screen every time, even if the previous customer had not completed the entire check-in process. This ensures a consistent starting point and user experience, as well as a quicker boot. A partner technology to HORM is the enhanced write filter, which ensures that the system integrity is maintained through any level of processing, preventing any contamination of the operating system. This is crucial, because for a kiosk, you must maintain a pristine image, but still allow interaction. Through the use of the enhanced write filter, no interactions are stored on the device. The device may transfer or pull data from a server or peripheral, but still no data is saved on the kiosk. This ensures that a system boots up in its native state every time, erasing any changes that were made during its last use.

Faster Time-to-Market and Reduced Development Costs

Using embedded technology as a development base for digital signage can


tech in systems

reduce costs, both for the developer and for the customer. For system builders and developers, using embedded technology means using only the components required. For example, it’s possible to create a digital sign using a standard Windows 7 image, but the image would cost roughly twice as much as the embedded image would cost. What’s more, a standard image takes more memory and hard drive capacity to run, and has components that can drag down system performance. A web browser, for example, probably isn’t necessary, and neither is a calculator or many other peripheral features. And because there are fewer components involved, maintenance and support costs tend to be lower. Customers benefit by paying less than they would have for a comparable solution built on a non-embedded platform. The result is the ability to deliver content with functionality that decreases total cost of ownership. With an embedded approach, developers and system builders can significantly reduce development time on many fronts—hardware, software, system integration and quality assurance and testing. There’s a broad knowledge base in the embedded development community as well as a wealth of third-party resources and products that in turn reduce timeto-market for developers and ultimately, their customers. That’s critical for developers in satisfying their customers, and important to customers for competitive and cost reasons. By using embedded systems to develop digital signage applications, the possibilities are almost limitless: interactive systems in hotel rooms that allow patrons to play games, buy items and order from a delivery menu; digital displays that allow customers to scan their smartphones to get more information about a product; coffee shops that offer coupons via smartphone to customers passing on the street; a traffic billboard that senses volume from an external source and in turn updates the drive times. The potential for the embedded marketplace in general is growing quickly as well. In addition to digital signage, there is great potential in markets such as medical, entertainment, security, en-

ergy management, retail, banking, hospitality and manufacturing. According to IDC, the market for embedded systems will double in size over the next several years, becoming a $2 trillion market by 2015. The growth of the embedded marketplace, combined with a staggering rise in digital signage, provides a real opportunity for developers who know how to leverage the technology. With the right

Untitled-12 1

tools, the right focus and opportunity, developers can create the next-generation intelligent, connected devices for the digital signage market. Bsquare Bellevue, WA. (425) 519-5999. [www.bsquare.com].

41

1/11/12 9:50:36 AM RTC MAGAZINE MARCH 2012


technology deployed Frameworks Help Systems Adapt

Future Proofing through Portable Software Whether due to component end of life or changing market demands, embedded systems are going to require changes over product life times. A flexible software framework can ease the pain of transition. by Wes Johnson, Eurotech

D

eveloping and deploying an embedded device is a complex and risky task. The embedded systems market is constantly changing as customers demand higher performance, more features and a longer shelf life. Most devices undergo several hardware or software updates to meet new technology and customer demands. Some subsets of the embedded industry, such as machine-to-machine (M2M) communications, have even more potential for future expansion or new feature add-ons. With multipart M2M technologies in play, companies have no way of knowing exactly what is going to happen in the future or how their business needs might change. If the developer does not account for future changes or expansion, they will have to redesign the whole system. When developing an embedded device, “future proofing” and planning for the unforeseen to account for these changes can save time, money and frustration. Eurotech’s Chief Technology Officer Arlen Nipper has a favorite saying on the importance of future proofing: “You don’t know what you don’t know.” Nipper explains, “Although developers do not know exactly what types of changes to anticipate, they can build flex-

42

MARCH 2012 RTC MAGAZINE

Application

Software Framework

Develop robust, flexible applications and get to market faster

Operating System Hardware Platform / BIOS

Figure 1 The software framework is a middleware layer between the operating system and the customer application that allows for faster development.

ible embedded devices designed to stand the test of time.”

weeks, months, even years on custom coding and complicated fixes. An applicationready, future-proof platform, on the other hand, saves time and money.

Evolving as Market Demands Change

Developing on a Software Framework

Developers want to be able to adopt and modify an embedded platform design and quickly add on their application expertise, thus significantly reducing timeto-market. Then, once they get to market, they want to be able to quickly and easily respond when market demands change and software or hardware needs to be updated. There are two key reasons why the makeup of an embedded device will change during its lifecycle. The first is that a component can go end-of-life. Processors and other components go end-of-life periodically, and OEMs must replace the components and find new working solutions to continue to produce the embedded device. The other cause for change is that new technology or a changing business world creates the need for added functionality. Whether the new functionality incorporates an enhanced wireless connection, more bandwidth or scaling for a bigger project, chances are an embedded device will change from its original specification and add functions over time. In order to respond to these changing market conditions, developers spend

Developers should think of their connected device in layers. The first layer is the chosen hardware platform/BIOS. The second layer is the operating system. It is not within the scope of this article to discuss hardware and operating system choices, but several embedded modules and Linux distributions enable a full range of foundational capabilities needed across embedded designs. As shown in Figure 1, the next layer, and the one we are focusing on as we discuss future-proofing, is the software framework. The software framework puts a middleware layer between the operating system and the customer application, with industry standard interfaces that shorten custom development time, simplify coding, and allow software to be easily ported from one hardware platform to another. OEMs should choose a software framework that is modular, making it easy for a developer to add new features that were never thought of in the original specification. Suppose the developer wants to add a MODBUS device to their application. With a modular software


Technology deployed

What is your primary development environment (IDE)? (choose one)

OSGi Application Framework 53.7%

Eclipse JDT 14.3%

Eclipse PDT 7.4%

Eclipse CDT Other (specify)

Java Virtual Machine (JVM) / JNI Linux Operating System

6.1%

MS Visual Studio

OSGi Alliance

4.8%

Hardware Platform / BIOS

VIM/Emacs

2.1%

Oracle (Sun) NetBeans

1.9%

Figure 3

Text Editor

1.5%

IBM Rational Application Developer/WebSphere JetBrains IntelliJ

1.3%

The software framework layer has the Java Virtual Machine and OSGi Application Framework at its foundation.

1.2% 5.5%

Other

Figure 2

0%

20%

40%

60%

In the 2010 Eclipse Community Survey, 53 percent of respondents indicated the Eclipse Java IDE is their development environment of choice.

framework, they can plug in the new software component without affecting the rest of the application, in this case a MODBUS bundle. The MODBUS bundle enables an application to poll, control and connect to MODBUS-enabled devices typically found in industrial applications, eliminating the need to write and code the protocol internally. The ideal software framework has a foundation in Java, the Eclipse IDE and the OSGi Application Framework, and also includes several optional modules depending on the requirements for the application being developed. In creating a future-proof embedded computing device, it is important to choose tools on platforms in lieu of coding on the operating system to improve portability. Java has many advantages since it runs across all operating systems, runs in a “virtual machine” sandbox, eliminates collateral damage to the operating system, and offers rapid code development from a large repository of existing code. Java was conceived with the concept of “write once, run anywhere,” which is achieved through the Java Virtual Machine (JVM). The JVM is simply the

executable code that interprets the byte code from a Java application that has been complied with the “javac” compiler. Not only does the JVM enable the extensive capabilities of the OSGi Application Framework, but it also creates a hardware abstraction layer, enabling portability of the customer’s application code across multiple hardware platforms. Using JVM as the foundation for a future-proof software framework provides a standard and open programming environment that is user friendly and operating system independent in its architecture. The ideal software framework has a professional grade IDE for Java application development and debugging. The Eclipse IDE is the leading choice today (Figure 2), providing the software framework with all of the tooling required to develop, test, debug and package application bundles. The OSGi Application Framework is a general-purpose, secure and managed framework that supports the deployment of extensible and downloadable applications known as bundles. Bundles can be downloaded, installed, started, stopped, monitored and uninstalled on the fly, even

while other applications are running. The OSGi framework specifies a modular system for Java that implements a complete and dynamic component model that does not exist in standalone Java/VM environments. Figure 3 shows how the OSGi Application Framework coupled with the JVM forms the foundation for a software framework layer. Once the developer establishes the foundation for the software framework, they can develop custom bundles that can then be used and reused for various embedded devices. Once these bundles are developed, there will no longer be a need to create custom application code to manage foundational hardware capabilities. As shown in Figure 4, with the modular framework provided by using the Eclipse IDE and the OSGi Application Framework, the OEM can choose which of these components to use for a specific product.

A Sample Software Framework

Out-of-the-box software frameworks can provide customers with an ideal solution to future-proof their software and mitigate risk. Eurotech’s Everyware Software Framework (ESF), for instance, is a comprehensive software framework that allows developers to immediately begin porting the application and to rest assured the software is ready for future expansion, feature adds or market changes. With the ESF, the OEM does not have to do any RTC MAGAZINE MARCH 2012

43


Enterprise Add-on

dems, Wi-Fi devices, USB-based devices, Bluetooth, watchdog functions, J-BUS and CAN-BUS devices, and security cameras. When developers install the Eclipse IDE for ESF, they pull down the rich suite of foundation bundles developed, distributed and licensed by or from Eurotech.

Eurotech Cloud Client Add-on

Software Framework in the M2M Market

Other Add-on

Customer & other Bundles

Defense Bundles

Industrial Bundles

Logistics Bundles

Healthcare Bundles

Transportation Bundles

technology deployed

3rd Party Add-on

Foundation Layer OSGI Application Framework Java Virtual Machine (JVM) / JNI

Figure 4 By supporting many foundational level activities, a standard software framework can give developers an easy-to-use, cost-effective platform on which to develop smart devices.

additional coding for basic features like power management, GPS location or shut down functions, which are typically not their area of expertise.

Figure 5 shows some of the foundational level activities that ESF can handle that cross vertical industries such as power management, GPS, cellular mo-

As mentioned, M2M applications are even more diverse and more complicated for developers. A standard software framework in the M2M space is comparable to Android in the cell phone market. Android is a platform for cell phones, and there is a community of application developers creating applications to run on the platform, but they do not have to worry about how Android itself works. They don’t have to worry about the operating system, they can simply develop the application and it will run on the platform. A standard software framework allows developers the same simplicity and flexibility of using a toolkit to create an application.

Cogent SOM’s Based On Marvell Processors CSB1726-MV78460 (ARMADA XP)

CSB1727-88AP168 (ARMADA168)

CSB1730-88AP510 (ARMADA510)

As Low As

$99

Ć” 1.3 Ghz Quad ARMv6/7 Cores Ć” 2GB 64-Bit Wide DDR3 Ć” 0% 63, 125 DQG 0% 1$1'

Ć” *K] 3- &RUH (QKDQFHG $50

Ć” 0%E\WH %LW :LGH ''5 Ć” 0% 6/& 1$1'

Ć” )XOO &XVWRP 'HVLJQ Ć” ,Q +RXVH

44

Untitled-5 1

MARCH 2012 RTC MAGAZINE

Ć” 800Mhz PJ4 ARMv7 Core Ć” 1 GB 32-Bit Wide DDR3 Ć” 8MB SPI NOR and 512MB NAND

Ć” (PEHGGHG 6ROXWLRQV IURP VWDUW WR ILQLVK Ć” 3URWRW\SHV LQ ZHHNV

3/6/12 9:55:55 AM


Technology deployed

Foundation Layer Device Config

WiFi Management

Device Management

Bluetooth Management

Device Virtualization

Cellular Network

Interface Config

SNTP

Servlet Engine

DHCP

JUnit Test

NAT

Diagnostics

Firewall

Watchdog Management

VPN

CPU Monitor

USB Device Management

ESF SysLog Utility

Security

APIs to talk to a modem. It doesn’t matter what the modem is from a hardware perspective, an equivalent component can be dropped in that abstractly represents that device in the software without changing the rest of the application. Developing on a standard software framework takes the work off the OEM’s plate, allowing them to take advantage of an integrated hardware and software infrastructure so they can start adding their

unique value on day one. Taking advantage of a collection of cohesive software components lets customers modify, reconfigure and maintain their application over time, so it can evolve and adapt as market demands change. Eurotech Columbia, MD. (301) 490-4007. [www.eurotech.com].

Figure 5

THEMIS TACTICAL SYSTEMS

ESF saves developers time and money by handling these foundational level activities.

The ultimate goal for an M2M device is often to get data from a distributed device to the enterprise where people can act on the data received. With a software framework, OEMs get cloud-ready hardware that greatly reduces the development time required to connect a distributed device through the cloud. The framework makes accessing the cloud easier with a built-in Message Queuing Telemetry Transport (MQTT) client that enables an embedded device to publish and receive messages from the cloud with a few lines of code. MQTT is a low bandwidth, low overhead message protocol for sensors and mobile devices. MQTT has recently been adopted for Facebook’s instant messaging tool for its efficiencies and persistence, and has potentially hundreds of millions of users already. Such a framework enables devices to be easily connected to the cloud with MQTT and other highly optimized communication protocols. By leveraging this end-to-end solution, customers can deploy their embedded devices and start receiving data immediately without the need to create, provision and maintain a costly IT infrastructure. A standard software framework allows embedded system developers to account for hardware and component changes due to end-of-life or other market pressures. For example, suppose a developer has to remove a device’s modem and put in a new one because it went end-oflife. The best software framework will provide a common library of software

REDUCING RISK AND INCREASING SURVIVABILITY UNDER EXTREME ENVIRONMENTAL CONDITIONS

VITA-74 NANOATR

FEATURES ‹ Intel Atom N455 @ 1.66 GHz ‹ 1 GB @ 667 MHz DDR3 ‹ Electrical per VITA-46 3U VPX ‹ Electrical per VITA-65 OpenVPX ‹ BP Connectors per VITA-57 FMC ‹ 4 Slot + Storage ‹ Conduction Cooled with Fins ‹ Dimensions (W x H x D) 4.88â€? x 4.12â€? x 4.38â€? ‹ 4.5 lbs (average) ‹ Conduction Cooled ‹ Operating Temberature 40° C to + 71° C ‹ +28 VDC (18 to 36 VDC) ‹ MIL-STD-810G, MIL-STD-461F TARGET APPLICATIONS ‹ Mission Computing ‹ Payload Control ‹ Real Time Control ‹ Data Recording ‹ Small Storage and Communications Systems ‹ Mobile Robotics

NANOPAK

FEATURES ‹ Intel Atom N455 @ 1.66 GHz ‹ 1 GB @ 667 MHz DDR3 ‹ VITA-74 Derivative ‹ I/O Through Front Panel Connector ‹ Dimensions (H x W x D) 89 mm X 21 mm X 90 mm ‹ Conduction Cooled ‹ Operating Temperature -40° C to + 71° C ‹ MIL-STD-810G, MIL-STD-461F TARGET APPLICATIONS ‹ Real Time Control ‹ Data Recorders ‹ Small Storage and Communications Systems ‹ Mobile Robotics

SCAN THIS QR CODE WITH YOUR MOBILE PHONE FOR MORE INFO ON THEMIS TACTICAL SYSTEMS PRODUCTS

BENEFITS

AVAILABLE 3U VPX CARDS

‹ :[H[L VM [OL (Y[ ;OLYTHS HUK 2PUL[PJ +LZPNU ‹ 3V^LY 7YPJL ‹ :OVY[ 3LHK ;PTLZ ‹ :THSS 7YVNYHTZ HYL >LSJVTL

‹ ;:)*P ? < =7? :PUNSL )VHYK *VTW\[LY ^P[O 0U[LS *VYL;4 P *7< ‹ ;06* ? < =7? ?4* 74* *HYYPLY 4VK\SL ‹ ;:* ? < =7? 7VY[ :(;( :(: 9(0+ 4VK\SL ^P[O 74* ?4* ‹ ;:4 ? < =7? :(;( :(: 4HZZ :[VYHNL +YP]L 4VK\SL ‹ ;.( ? < =7? .YHWOPJZ 7YVJLZZVY ^P[O (4+ , .7<

www.themis.com (510) 252-0870

Untitled-8 1

Š 2012 Themis Computer. All rights reserved. Themis Computer, Themis and the Themis logo are trademarks or registered trademarks of Themis Computer. All other trademarks are the property of their respective owners.

45

3/6/12 10:20:22 AM RTC MAGAZINE MARCH 2012


technology deployed Frameworks Help Systems Adapt

The Yocto Project: New Possibilities for Intelligent Embedded Systems? Bringing together and integrating software components for embedded designs can be a tedious and uncertain task. The creation of a project framework under which these components can be identified, evaluated and integrated can save time and effort, freeing talent for more value-added activities. by Lax Mandal & David Stewart, Intel

A

nyone familiar with the embedded technology industry will acknowledge that the approaches to developing embedded systems are very fragmented. They are fragmented in the way the systems are designed, in what I/Os and OSs are implemented, what middleware or applications are used, and because of the fact that there is limited or no interoperability between these systems. Embedded developers usually adopt a headstrong methodology or a sense of design philosophy that may not be compatible with others. While this has worked in the past and has helped embedded systems become entrenched in our lives and businesses, a new breed of systems is emerging. These systems are connected and serve as end points of a networked deployment or installation, and they will need a more consistent approach to development. These, as International Data Corporation puts it, are “intelligent systems.” An intelligent system is essentially an embedded system that is connected, enables intelligent operations and is in lock step with other such systems to either distribute workloads or create a chain of val-

46

MARCH 2012 RTC MAGAZINE

ues delivery. This encompasses a breed of systems that would promote the needs for stream-lined applications, cloud connectivity and possibly a much greater level of user-interaction. Intelligent systems will also provide an opportunity for developers and businesses to create and deploy end-to-end solutions as opposed to traditionally limited point solutions. The biggest bump on the road to deploying such intelligent systems widely is the ability to efficiently develop such systems themselves. This is particularly due to the lack of synergies and portability of various software components between cross-architectural hardware solutions. Developers spend an extraordinary amount of time and energy on building solutions that may not be utilized in their next project. In most cases developers also resort to “beg and borrow” tactics toward software development in order to be able to fulfill short-term needs that may result in unattended gaps. There has so far not been a framework that allows developers to easily develop cross-architecture solutions, easily port solutions from one project to another while not losing consistency

in software architecture, and seamlessly integrate standard middleware and applications. Without such a framework, it will be difficult to: a) broaden the scope of the intelligent systems beyond mundane processing capabilities and b) accelerate intelligent systems deployment. The need for such a framework is more apparent in the open source world than in the closed source world, where device support is dictated up-front. The Yocto Project is one such initiative by the Linux foundation whose goal is to bring down the barriers engrained in current methodologies and software development practices by providing a universal framework for development.

So What Is the Yocto Project?

The Yocto Project (www.yoctoproject.org) is an open source collaboration project that provides templates, tools and methods to help developers create custom Linux-based systems for embedded products on any hardware architecture. The approach of the Yocto Project is a bit different from the traditional approaches in the Linux world. The Yocto Project is not the end game for a hardware platform, but a means to achieve the end game objectives. In plain words, it’s not an embedded Linux distribution; rather, it creates a custom one for you. Figure 1 shows the major components of the Yocto Project and how information flows from start to completion. In a Yocto Project build, specified open source packages from around the Internet and your local intranet are accessed and the associated source code is fetched. These sources are then patched and compiled into binary packages. Rather than lock system developers into a single packaging format, the Yocto Project supports all the most popular package systems (RPM, DEB and IPK). These packages are then emitted into a package feed that can be used to build runnable Linux images. The package feed can be used to supply additional binaries to the devices under development. In addition, the build process can create an application development SDK, which is based on the popular


Technology deployed

Openembedded Architecture Workflow

Upstream Project Releases

Local Projects

SCMs (optional)

Source Mirror(s)

User Configuration

Policy Configuration

Configuration /Compile/ Autoreconf as needed

Process steps (tasks)

Build systems

Output Image Data

.rpm Generation

Metadata (.bb+patches) Machine (BSP) Configuration

Output Packages

Metadata/Inputs

Package Feeds

Source Fetching

Patch Application

Upstream Source

Output Analysis for package splitting plus package relationships

.deb Generation

QA Tests

.ipk Generation

Image Generation

SDK Generation

Images

Application Development SDK

Figure 1 In the Yocto Project packages are brought in from various sources and run through the build system to ultimately build runnable Linux images.

Eclipse development environment. Images can be tested on a device emulator (called QEMU) or on real devices, and tools are provided in the Yocto Project to debug or profile the resulting system. The Yocto Project brings together the elements needed to make the embedded Linux development process easier and faster and is easily reusable or updated. Thus there are a number of implementation engineers who have created ready-to-go Linux distributions on Intel platforms in a tiny fraction of the time previously required. For an example of the Yocto Project’s philosophy, consider this quote from one of the project’s documents, the Yocto Project Kernel Architecture and Use Manual: The complexity of embedded kernel design has increased dramatically. Whether it is managing multiple implementations of a particular feature or tuning and optimizing board specific features, flexibility and maintainability are key concerns. The Yocto Project Linux kernel is presented with the embedded developer’s needs in mind and has evolved to assist in these key concerns. For example, prior methods such as applying hundreds of

patches to an extracted tarball have been replaced with proven techniques that allow easy inspection, bisection and analysis of changes. Application of these techniques also creates a platform for performing integration and collaboration with the thousands of upstream development projects. With all these considerations in mind, the Yocto Project kernel and development team strive to attain these goals: • Allow the end user to leverage community best practices to seamlessly manage the development, build and debug cycles. •C reate a platform for performing integration and collaboration with the thousands of upstream development projects that exist. • P rovide mechanisms that support many different work flows, frontends and management techniques. •D eliver the most up-to-date kernel possible while still ensuring that the baseline kernel is the most stable official release. • I nclude major technological features as part of Yocto Project’s up-rev strategy.

What is also interesting is the support that this initiative is generating from the key components players in the embedded industry. Industry leaders such as Wind River, Texas Instruments, Montavista Software, Panasonic, Intel and many others have pledged support for the project. This signals a broader understanding that Linux-based system developers see the opportunity to focus on higher value add in areas such as solution stack buildout, applications and user experiences rather than be content with solving the system integration challenges. In essence, the Yocto Project provides a prescription for system integration challenges, so developers can easily shift their focus and system deployments can take advantage of that by considering rolling out value-added services.

Now Give Me an Example, Please…

Late last year, engineers contributing to the Yocto Project embarked on a Network Attached Storage (NAS) project to demonstrate how possible it would be to create solutions built with a fast time-tomarket objective. Based on an Intel Black RTC MAGAZINE MARCH 2012

47


technology deployed

Sand (Intel Atom N450) reference singleboard computer platform, the project was initiated to integrate a samba server and various related functionalities. Shane Wang, lead engineer on the NAS project said, “In order to test Yocto’s usability to build images for embedded devices, we chose a personal NAS based on Intel’s Black Sand hardware, and expected to complete the project in a rather constrained time frame. We did some investigation on different packages, prioritized some key features, and worked out an NAS solution based on the Yocto Project from Oct. 12 to Oct 28. Now the code for Baryon is ready, the demo is also ready, and the data for preliminary profiling and tracing with ADT is ready.” The team began by surveying the features of some existing NAS products on the market and selecting a number of file sharing services to offer, which already existed as open source projects. The team was able to quickly integrate Windows file sharing (Samba), home media sharing (Media Tomb), RAID and some Linux-oriented services such as NFS, FTP, SSH and RSYNC. Because an NAS typically has no display screen, management would be done using a browser interface, and the open source Webmin project was integrated with the Yocto Project’s built-in web server. Finally, the Application Development Toolkit created by the Yocto Project was used to analyze and tune performance of the NAS, invoking such tools as blktrace, perf, oprofile, iozone, perftop and netperf. Creating a finished quality product in only three weeks was not the goal, nor would this likely be achievable. However, the result is amazingly competitive compared to commercial offerings, as outlined on the Baryon project web page, and is a clear demonstration of the power of using the Yocto Project to quickly create a custom Linux device. More details of the Baryon project can be found at https://wiki.yoctoproject.org/wiki/Baryon. What this example showcased was that system integration is less arduous and can free up a lot of developer time that otherwise would be spent trying to do a great deal of manual integration and validation. Now that precious developer time that was freed up can be utilized toward integrating value-added capabilities. This time can also be utilized in creating additional product offerings, or possibly some crossarchitectural work based on the setup that

48

Untitled-10 1

MARCH 2012 RTC MAGAZINE

3/1/12 10:05:56 AM

was used in the previous design, to meet the niche market requirements. Cross-architectural systems based on similar development frameworks can be ported back and forth much more easily, which is a huge plus in an environment where there are high expectations around homogeneity of applications. This enables the deployments to have access to higher-value capabilities, in particular with regard to manageability and security. In a connected environment, the ability to manage a network of intelligent systems and to keep it secure would enable a new dimension of operational excellence and easier delivery of services, thus increasing productivity significantly. Various market sectors such as industrial factories, energy distribution, networking, retail, automotive, machine to machine, etc., can benefit from this progression of OS-level simplification to enrich services deployment.

What Else Do I Need to Know?

The Yocto Project is certainly not indicative of the way the Linux marketplace has operated. It may not be the ultimate model either. However, what it provides is a set of benefits that the embedded industry can utilize to get to the next step of evolution. Various market analyses point at an explosion of connected end-point systems that are proposed to be intelligent systems catering to local and networked computational needs. This enables opportunities to deploy value-added services, increasingly from a cloud computing perspective (both public and private cloudoriented delivery). However, to be able to be in lockstep with these evolutionary potentials, systems development needs to be easier and faster. The road to success for embedded systems depends on the agility of the industry to evolve from mundane devices to intelligent systems. Only time will tell how successful the Yocto Project can be, but it’s very obvious that the approaches toward developing solutions and integrating systems need to change. The Yocto Project enables one such change. Intel Santa Clara, CA. (408) 765-8080. [www.intel.com].


RELIABLE INSIDE— RUGGED OUTSIDE

• Systems tailored to your requirements • Built tough for extended system deployments • Designed-to-meet and certified MIL-STD-810 systems • 5-year factory warranty for Trenton SBCs, motherboards and backplanes • Standard and custom boards with BIOS revision control • COTS hardware maximizes system flexibility • Key industry partnerships enable advanced turnkey solutions

770.287.3100

The Global Leader in Customer-Driven Computing Solutions™

www.TrentonSystems.com


products &

TECHNOLOGY Atom-Based COM Express Compact Module Targets Low Power

A new COM Express compact CPU module is powered by the low power Intel Atom N2600 and D2700 processors based on COM.0 R2.0 type 2 pin-out. Type 2 pin-out and legacy I/O support make for easy migration, and type 2 connectors are resistant to shock and vibration while offering high bandwidth and high-speed data transmission. The new SOM-6765 offers ample performance with a focus on low power consumption—6 watts on a 95 x 95 mm module—and is suitable for a variety of handheld and portable devices. SOM-6765 is based on the latest Intel Atom dual-core N2600 and D2700 processors, based on 32nm technology, which includes hardware HD decode and support for faster DDR3 1066 memory. SOM-6765 offers dual display output and various graphic interfaces such as LVDS, VGA and even Blu-ray via HDMI. An improved DDI function gives more flexibility to media design without interfering with the original COM.0 R2.0 type 2 specification. For expansion, SOM-6765 supports 2 PCIe x1, 4 x PCI masters and an optional 1 x PCIe x4 slot for performance demanding applications. There is a built-in Gigabit LAN on board for network-intensive applications. SOM-6765 also supports 2 x SATAII, 8 x USB2.0, LPC, SMBus, I2C Bus, EIDE and 8-bit GPIO. SOM-6765’s wide range temperature capability (-40° to 85°C) makes it super reliable so it can endure extreme environment requirements and applications. Advantech, Irvine, CA. (949) 798-7178. [www.advantech.com].

Rugged Four-Port USB Hub Features Extended Temperature

A rugged, industrial-strength four-port industry/military grade USB hub features extended temperature operation (-40° to 85°C), high retention USB connectors, and an industrial steel enclosure for shock and vibration mitigation. The USB-104-HUB from AccesI/O also includes an OEM version (board only), which is PC/104 sized and can easily be installed in new or existing PC/104-based systems. This now makes it easy to add additional USB-based I/O to an embedded system or to connect peripherals such as external hard drives, keyboards, GPS, wireless and more. Real-world markets include Industrial Automation, Embedded OEM, Laboratory, Kiosk, Transportation/Automotive and Military/Government. This four-port hub can be bus-powered or self-powered. You may choose from three power input connectors: DC power input jack, screw terminals, or 3.5” drive power connector (Berg). Mounting provisions include DIN rail, 3.5” front panel drive bay mounting, and various panel mounting plates. The 3.5” front panel drive bay mounting provision allows for easy installation in rack-mount industrial and military grade style chassis, as well as home or office PCs. Available accessories include a wide variety of standard USB cables and mounting provisions for quick and easy-to-use, out of the box connectivity. To make use of the miniature embedded USB header connectors, ACCES offers an assortment of embedded micro-fit USB header cables. ACCES I/O Products, San Diego, CA. (858) 550-9559. [www.accesio.com].

50

MARCH 2012 RTC MAGAZINE

ATCA Open Modular Platform for 4G LTE and Carrier Cloud Network Infrastructure

A new 10 Gigabit Ethernet ATCA open modular platform is a starting point for telecom equipment manufacturers (TEMs) to build on a common platform a multitude of new equipment configurations using CPU, NPU, DSP, storage and specialized third-party ATCAbased line cards that meet the requirements for 3G, 4G LTE, WiMAX, GPON, IPTV and carrier cloud network elements. Each Kontron 10G ATCA open modular OM9141-10G platform from Kontron includes redundant power entry modules, cooling infrastructure with hot swappable redundant fans, and second generation 10GbE switching capabilities. Shelf Management is built in with redundancy and interoperability tested Shelf Manager Cross Connects as per PICMG specification, and an optional COM Express dual-core module is available for centralized system management with a standards-based HPI implementation. A telco alarm panel provides telecom grade external system alarm notification. The mature and stable Kontron switch management software suite supports a comprehensive list of core features and protocols including, among others: QoS, IPv4 and IPv6 routing, IPv4/IPv6 multicast routing and selected protocols. A sampling of supported protocols includes: Ethernet multicast switching protocols and functions (such as GVRP, GARP, RSTP, LAG, IGMP Snooping, DiffServ, ACL); IPv4 unicast and multicast routing, unicast forwarding protocols & functions (such as ARP, OSPF, VRRP, RIP); multicast forwarding protocols & functions such as PIM-DM, PIM-SM, DVMRP, IGMP; IPv6 unicast and multicast routing; and, IPv6 unicast forwarding protocols and functions such as discovery, OSPFv3, MLD, 6to4/4to6 tunneling. Kontron, Poway, CA. (888) 294-4558. [www.kontron.com].


PRODUCTS & TECHNOLOGY

Embedded WiFi for Climate-Controlled Settings Cuts Costs

A set of commercial-grade modules delivers wireless connectivity environments to in-building or climate-controlled areas in a narrow temperature range (-10° to 65°C). Applications targeting more moderate conditions can choose the commercial-grade Nano WiReach and Nano Socket iWiFi from Connect One for designs because they are 100% compatible in form, fit and function to the original, extended-temperature versions but at a 25% cost reduction. Based on Connect One’s iChipSec CO2144 IP controller chip, Nano WiReach and Nano Socket iWiFi include a full suite of Internet Protocols and applications, enabling full-featured secure connectivity. Tailored for seamless integration, the modules offer immediate connectivity without WiFi driver development or porting and support several modes of operation. These include an embedded router and embedded access point. With the router mode, LAN or WiFi clients gain a single cellular WAN connection to the Internet (3G router) or routing between LAN and WiFi networks. With the function of embedded access point, devices containing WiFi can connect to the product’s embedded access point to control or manage processes. Nano WiReach and Nano SocketiWiFi can also function as a LAN to WiFi Bridge. Transparent bridging of LAN over WiFi enables direct RMII connection to existing MAC hardware. Any microcontroller with a built-in Ethernet MAC can be connected to WiFi with zero development effort. The module also has a 3 Mbit/s UART that can bridge serial data over a LAN or WiFi. Also supported is a full Internet controller mode where simple microcontrollers can use the WiFi modules’ protocol and application capabilities to perform complex Internet operations, such as e-mail, FTP, SSL, embedded Web server and others. Internet controller mode can be used with any hardware interface. In addition, PPP emulation enables existing (e.g., cellular modem) designs currently using PPP as an interface to the cellular modem to connect transparently over WiFi with no changes to application or drivers. Get Connected with technology and companies providing solutions To ensure Nano WiReach and Nano Socket iWiFi are fully protected from industrial network targeting by hackers—a phenomena morenow common Connected is a latest new resource for further exploration of late—the WiFi modules offer a highly advanced level of Internet security. Nano WiReach and Nano SocketGet iWiFi include the WiFi encryption into as products, technologies and companies. algorithms (WPA/WPA2, in both PSK and enterprise modes) and Internet SSL encryption algorithms, as well serving as an inherent firewall Whether for the your goal to research the latest datasheet from a company, speak directly industrial application. In providing this level of security, Connect One safeguards the industrial networkisfrom attacks originating from the Internet.

Ad Index

Connect One, San Jose, CA. (408) 572-5675. [www.connectone.com].

Extremely Low-Energy Nonvolatile F-RAM Memory

A very low-energy nonvolatile memory product, the FM25P16 from Ramtron, is a 16 Kbit serial nonvolatile memory that opens up new possibilities in power-sensitive system design with the industry’s lowest energy consumption of typically 3 microamps of active current. The FM25P16 uses 1/1,000th the energy of Electrically Erasable Programmable Read-Only Memory (EEPROM) devices, while delivering fast read/write performance and virtually unlimited endurance. The benefit of such low-energy memory increases significantly as the system writes data more frequently. Unlike serial EEPROMs, FM25P16 can perform fast and power-efficient write operations at bus speed with no write delays. These capabilities make FM25P16 attractive for nonvolatile memory applications requiring both very low power and frequent or rapid writes. The FM25P16 employs an advanced ferroelectric process that yields virtually unlimited endurance of 100-trillion (1014) read/write cycles and reliable data retention for 10 years. The FM25P16 uses a fast serial peripheral interface (SPI), operating up to full bus speed of 1 MHz frequency. For more information about the system advantages of FRAM Low Energy Memory, download a white paper by visiting www. ramtron.com/go/FRAM-advantage. The 16 Kbit ferroelectric nonvolatile RAM is organized as 2,044 x 8 bits, with an operating voltage of 1.8 - 3.6V, active current of typically 3.2 μA @ 100 kHz and a standby current of only 1.2 μA. The serial peripheral interface frequency is up to 1 MHz (mode 0 & 3) and the temperature range is -40° to +85°C. The device comes in a “Green”/ RoHS 8-pin SOIC package and 10K unit pricing is $0.99. Ramtron International, Colorado Springs, CO. (719) 481-7182. [www.ramtron.com].

with an Application Engineer, or jump to a company's technical page, the goal of Get Connected is to put you in touch with the right resource. Whichever level of service you require for whatever type of technology, Get Connected will help you connect with the companies and products you are searching for.

Min-ITX Boardwww.rtcmagazine.com/getconnected Supports Extensive I/O Options

A new mini-ITX SBC features second generation Intel Core i7/i5/ i3 or Celeron processor with up to 16 Gbyte DDR3 memory and runs off a single 12V-only 4-pin power connector. The X9SCV-QV4 from Supermicro uses the Intel QM67 chipset. Measuring 6.7” x 6.7”, the module offers 11 USB 2.0 ports, 2Get HDMI Connected with technology and companies prov ports and a VGA d-sub connector Get Connected is a new resource for further exploration into pro to connect the graphics integrated datasheet from a company, speak directly with an Application Engine on the CPU. In addition, itinprovides touch with the right resource. Whichever level of service you requir 2 COM ports and dual Gigabit EthGet Connected will help you connect with the companies and produc ernet LAN ports.www.rtcmagazine.com/getconnected One PCIe slot is also provided. The X9SCV-QV4 provides extensive monitoring and health features with temperature monitoring for the CPU and chassis environment including CPU trip support and FC temperature sensing logic. It also supports three 4-pin fan headers for the ability to support fans with speed control and can also support 3-pin fans without speed control.

Products

Supermicro, San Jose, CA. (408) 503-8000. [www.supermicro.com]. Get Connected with companies and products featured in this section. www.rtcmagazine.com/getconnected

Get Connected with companies and products featured in this section. www.rtcmagazine.com/getconnected

RTC MAGAZINE MARCH 2012

51


PRODUCTS & TECHNOLOGY

Video Wall Controller System Supports up to Seven Boards across 28 HD Inputs and Outputs

A new industrial PC will support up to seven Mura boards from Matrox Graphics, which are designed to be combined in a single system as building blocks of increasingly large-scale setups. Mura’s design comprises a video wall in a card and features up to four inputs and outputs on each board. The resulting Ultima Mura video wall controller built by Blue Chip Technology supports up to 28 high-definition inputs and outputs for added audiovisual flexibility and versatility. The Ultima is the top-of-the-line industrial PC from Blue Chip, featuring an ASUS motherboard and an Intel i7 Quad Core CPU. Its rack-mount, stainless steel chassis and memory up to 24 Gbyte DDR are further evidence of Blue Chip’s reputation for outstanding design and quality. Mura leverages 64 Gbit/s duplex data transfer for exceptional performance and image quality without any compromises to resolution or frame rate. Multi-functional in nature, Mura facilitates video switching, signal conversion, scaling and de-interlacing all from a single board, thereby eliminating the need for separate solutions. Mura boards are also designed to be used anywhere from within corporate board rooms and classrooms to missioncritical environments that require higher-density, scalable video walls. Blue Chip Technology, Chelmsford, UK. +44 (0) 1829 772000. [www.bluechiptechnology.co.uk]. Matrox Graphics, Montreal, Canada. (514) 822-6366. [www.matrox.com].

Very Small Half Duplex RFID Mini-Transponders for Animal and Asset Tracking

A line of very small half-duplex radio frequency identification (RFID) mini-transponders enables customers to embed tags into smaller objects across a broader range of applications. The 12 mm TRPGR30TGC and TRPGP40TGC glass encapsulated mini-transponders from Texas Instruments are ready-to-use and 100 percent backward compatible with all of TI’s RFID software and readers including power modules, control modules and micro readers. The TRPGP40TGC is programmable according to ISO 11784 / 11785 global livestock ID standards so customers can create compatible products that can be read by 134.2 kHz ISO-compliant receivers and infrastructure worldwide. The mini-transponders are durable enough for use in applications including tool, medical instrument, package and inventory tracking, and safe enough for use in fish and livestock tracking and pet identification. They are expected to work for up to 30 years. The read range extends up to 20 inches (50 centimeters) and allows for easier tag spotting for fish tracking in fresh water and even in salt water environments where FDX technology completely fails. TI’s HDX technology has high resistance to noise through frequency-shift keying (FSK) modulation and consistent performance through parametric testing and electronic resonance tuning performed on each mini-transponder throughout the production cycle. Passive mini-transponder tags are 12 mm x 2.12 mm, enabling customers to introduce battery-free RFID tagging in smaller applications (e.g. tagging smaller fish). Each TRPGR30TGC mini-transponder is pre-programmed with a unique code for industrial applications and asset tracking. TRPGP40TGC mini-transponders can be programmed by users for livestock-, pet-ID and fish tracking. The TRPGR30TGC mini-transponder can be fully encapsulated in carbon fiber (bicycle frames, aerospace, automotive applications), environments with high noise levels (industrial applications, assembly lines) and in salt water. TI’s complementary portfolio and software support help customers get their tagging products to the global market faster. Texas Instruments, Dallas, TX. [www.ti.com].

52

MARCH 2012 RTC MAGAZINE

Micro-Sized Intelligent Motor Control Solution for Faster Assembly and Installation

A new, micro-sized pluggable servo drive has been particularly developed for motion control applications where space is critical. The iPOS3602 MX drive from Technosoft can be integrated—within seconds—to the user’s motherboard or PCB machine. All basic motor control functions, as well as motion control and PLC features, are embedded onto this small drive (55 mm x 26 mm x 13 mm, 10 g). Equipped with CAN / CANopen and optionally with EtherCAT interfaces, iPOS3602 MX provides a flexible, compact solution adapted to the decentralized control of any rotary or linear brushless, DC brush or step motor up to 75W (36V, 2A). The drive’s setup, tuning and motion programming are fast with EasyMotion Studio and Technosoft Motion Language (TML). Thanks to its embedded intelligence, iPOS3602 MX is able to run complex motion commands directly at drive level. Using the TML language, multiple operations can be executed with iPOS3602 MX: setting of various motion modes (profiles, PVT, PT, electronic gearing or camming, etc.), change of motion modes and/or parameters, execution of homing sequences or program flow control. At the same time, iPOS3602 MX can handle digital I/O and analog input signals, execution of arithmetic and logic operations, and transfer of data between axes. The iPOS drive accepts incremental (quadrature or sine / cosine) encoders and digital or linear Halls signals as position feedback. SSI, BiSS, EnDAT absolute encoders and resolver interfaces are available through an additional extension. iPOS3602 MX can be set to operate in various communication modes: CANopen, EtherCAT and TMLCAN. When CANopen or EtherCAT mode is selected, the drive may be controlled via the appropriate masters. As a bonus, iPOS offers to the master the option to call complex motion sequences pre-stored in the drive’s memory. When TMLCAN mode is used, iPOS3602 MX operates in standalone mode, and may play the role of a master. If higher level coordination is needed, then iPOS3602 MX can be controlled through a PC or a PLC using one of the available TML_LIB motion libraries. Technosoft, Bevaix, Switzerland. +41 (0)32 732 55 01. [www.technosoftmotion.com].


PRODUCTS & TECHNOLOGY

Tool Suite Extension Offers Certification Validation for Altera Nios II Soft Core Processors

The LDRA tool suite offers independent verification support across the full development lifecycle from certification objectives of standards to requirements, code and target testing. It has now been expanded to target the Nios II soft core processors from Altera. It can thus be directly integrated with Altera’s Embedded Design Suite (EDS) for Nios II soft core processors. In the past, these processors lacked sufficient CPU and memory to be used in automotive, medical, industrial and avionics environments. However, with the advent of the Nios II family, entire chip sets can be replaced with an Altera FPGA, making the Nios cores suitable for applications that must be certified. LDRA’s integration ensures that standards such as DO-178B/C, MISRA and IEC 61508 can be verified and validated directly when using these soft core processors. LDRA’s ability to provide bidirectional traceability from requirements through model, code and tests allows development teams to both validate programming standards and automate their certification process. To achieve integration, LDRA takes advantage of Nios II tool chain characteristics. Using the GNU GCC tool chain and Eclipse IDE to program the Nios II, developers can take advantage of soft core processors previously only available on ASICs with custom chip sets. LDRA leverages the host I/O capability of the GCC tool chain to create unit and system tests that transfer data back into the host processor seamlessly. Within the Eclipse environment, tests can be automated to load and execute, saving time during verification. By using the LDRA tool suite, companies gain the validation tools necessary to ensure that a software application produced on a Nios II platform is certifiable. Since FPGA-based soft core processors achieve significant cost savings over custom hard core processors both in actual cost and the reduced amount of board design, the LDRA integration provides increased market opportunities forGet missionand safety-critical developers Connected with technology and wanting to take advantage of this Altera processor family. companies providing solutions now Get Connected is a new resource for further exploration LDRA, San Bruno, CA. (650) 583-8880. [www.ldra.com].

Ad Index

Mini-ITX Motherboard with Ultra Low Power Atom Processors

An ultra low power industrial mini-ITX motherboard features the latest Atom N2600, N2800 and D2700 dual core processors with integrated graphics (GMA 640/400MHz) and Memory Controller Hub (GMCH) on a single chip. These have the same performance and functionality as bigger desktop computer systems, but are integrated into the small Mini-ITX form factor targeted at compact applications. AIMB-214 from Advantech comes with rich I/O connectivity including up to six USB 2.0 ports and six COM ports integrated into a standard 170 x 170 mm form factor. These boards also support dual display output for LVDS, embedded display port and VGA. AIMB-214 is powered by the newest ultra low power Intel Atom processors, which are built on 32nm process technology. The thermal design power rating of the Intel N2600 dual core architecture is 3.5W, while with the dual core 1.8 GHz processor is 8W, enabling future power reductions, smaller systems and performance improvements. AIMB-214 utilizes the Intel NM10 chipset, provides both DC12V & ATX12V support, offering a lower total cost of ownership. It can support system memory up to either 2 Gbyte or 4 Gbyte of DDR3 1066 SDRAM, depending on the processor. Connectivity and expansion options include CompactFlash, PCI bus, six COM ports, six USB ports, 8-bit GPIO, two SATA III and an optional TPM security feature. Mini SATA and Mini-PCIe expansion slots enable easy integration, while a dual Intel chipset, 10/100/1000 Mbit/s Ethernet port is also available to deliver high-speed networking. With integrated, embedded Intel Gen3.5+ graphics core and 400/640 MHz render clock frequencies for the N2600/N2800/D2700, AIMB-214 offers exciting integrated 3D graphics and video capabilities with up to 224 Mbyte of shared graphics memory. AIMB-214 supports dual display with multiple configurations such as VGA + LVDS or VGA + eDP, and LVDS 24-bit dual channel for large size panels. Video output is complemented by HDMI audio for a complete audio and visual solution. Advantech, Irvine, CA. (949) 798-7178. [www.advantech.com].

into products, technologies and companies. Whether your goal is to research the latest datasheet from a company, speak directly Series of Configurable Power Supplies to 2500 with an Application Engineer, or jump to aup company's technical page, the goal of Get Connected is to put you in touch with the right resource. Watts Whichever level of service you require for whatever type of technology, Two series of multiple output configurable AC-DC supplies Get Connected will help you connect withpower the companies and products suit high power medical IT/industrial applications. The X15 series you areand searching for.

from XP Power complies with the international EN/UL 60950 safety www.rtcmagazine.com/getconnected specification for IT and industrial applications. For the demanding requirements of the latest 3rd edition medical safety standards, and having low leakage, the XM15 series suit applications in medical diagnostic appliances, CAT scan and MRI equipment. Capable of delivering 1500W output at low line input, and Get2500W Connected with technology and companies prov output at high line (> 180 VAC inGet Connected is a new resource for further exploration into pro put), these compact fan-cooled units datasheet from a company, speak directly with an Application Engine in touch (279.4 with the right resource. Whichever level of service you requir measuring 11 x 5 x 5 inches Connected x 127 x 127 mm) haveGet a power den- will help you connect with the companies and produc sity of up to 9.09www.rtcmagazine.com/getconnected watt per cubic inch. The mechanical chassis approach of the fleXPower X15 and XM15 series provides 20 slots for arranging 2- or 3-slot output modules. The modules, available with single or dual outputs, cover all the popular nominal output voltages from + 3.3 to + 60 VDC in a variety of output current ratings. The ability to parallel up outputs for more power, or use modules in series for non-standard output voltages, offers design engineers thousands of different output combinations. An auxiliary 5V / 1A always-on output is available to power logic or control circuits in the end system without the need for any additional voltage source or step down converters. Monitor and control signals inGetPower Connected companies clude AC OK, Fail, DCwith OK, Global and Inhibit, Fan Fail and Module products featured in thisspeed section. OK / Inhibit. An optional fan control is available. The fleXPower www.rtcmagazine.com/getconnected series is available in six power levels from 400W to 2500W. Pricing is from $1,158 for a fully loaded chassis in 100 unit quantities.

Products

XP Power, Sunnyvale, CA. (408) 732-7777. [www.xppower.com].

Get Connected with companies and products featured in this section. www.rtcmagazine.com/getconnected

RTC MAGAZINE MARCH 2012

53


PRODUCTS & TECHNOLOGY

Dual Core Mini-ITX Board Features Rich I/O Set

Tiny USB Battery Charger Detectors Target a Universal Charging Experience

A pair of very small USB battery charger detectors is compliant with the USB Battery Charging Specification. These devices detect USB standard downstream ports (SDPs), USB charging downstream ports (CDPs), or dedicated New USB Charger Detector Enhances Maxim’s charger ports (DCPs), in addition to proprietary charUSB Front-End Solutions Portfolio ger adapters from Apple and Sony. When a charging port is detected, the MAX14578E/MAX14578AE from Maxim Integrated Products will control an external lithium-ion (Li+) battery charger controller to optimize charge time and charging efficiency. By supporting a broad range of USB chargers, the MAX14578E/MAX14578AE offer consumers a “virtually universal” charging experience. Manufacturers can now also ship equipment without including power adapters, so they simplify their manufacturing supply chain while improving their green credentials. An on-chip LDO provides a 5.3V output that can be used to power a USB transceiver. In addition, ESD protection on the USB data pins is extended up to ±15kV (Human Body Model) and ±8kV IEC 61000-4-2 Contact Discharge. These features substantially reduce system complexity, component cost and board space for today’s highly integrated consumer devices. The MAX14578E/MAX14578AE are available in a 12-bump, 0.4 mm pitch, 1.3 mm x 1.68 mm WLP package for space-critical applications, and in a 16-pin TQFN package for ease of manufacturing. They operate over the -40° to +85°C extended temperature range. MAX 14562 REGULATED OUTPUT OVP

CHARGER

CHARGER CONTROL

APPLICATIONS PROCESSOR

MAX 14575* 250mA TO 2.5A CLS

GND ID D+ DVBUS

MAX 145758AE/ MAX14578E USB CHARGER DETECTOR

*Future product — contact the factory for availability.

Maxim Integrated Products, Sunnyvale, CA. (408) 774-9139. [www.maxim-ic.com].

COM Express Type 6 Module with Low-Power Intel CPUs Pushes Graphics Performance

A new, low-power COM Express module for advanced designs supports Pin-out Type 6 and provides improved display options along with increased bandwidth thanks to digital display interfaces and additional PCI Express lanes. With new, low-power Intel processors soldered on in a BGA package, the conga-TS67 module from congatec is suitable for vibration-resistant applications. The module is available in four second-generation, low-power Intel Core processor versions, from the Intel Celeron 807UE processor (1M Cache, 1.0 GHz) with just 10 watt TDP to the Core i7 2610UE dual core processor (4M Cache, 1.50 GHz) with 17 watt TDP and up to 8 Gbyte dual-channel DDR3 memory (1333 MHz). Pin-out Type 6 is implemented for the Intel QM67 Express chipset series and future generations. In addition to VGA and LVDS, it provides three digital display interfaces, which can each be configured for DisplayPort, HDMI or DVI and which—in contrast to Type 2 modules—are no longer multiplexed. Type 6 also features a PCI Express Graphics (PEG) port enabling the connection of additional graphics components for maximum display support, for instance in gaming and medical applications. The Intel HD graphics support Intel Clear Video Technology and DirectX Video Acceleration (DXVA) for faster video processing. In combination with the additional computing power provided by the second generation of Intel Core processors, the conga-TS67 is the ideal solution for demanding graphics applications in the gaming, medical technology, automation and digital signage sector. The integrated video decoding function enables real-time processing of incoming video streams. Seven PCI Express Lanes, PCI Express Graphics (PEG) x16 Lanes for high-performance external graphics cards, eight USB 2.0 ports, four SATA interfaces with RAID support, one EIDE and a 1 Gbyte Ethernet interface, enable fast and flexible system updating. Fan control, an LPC bus for the easy connection of Legacy I/O interfaces and Intel High Definition Audio complete the feature set. All conga-TS67 modules are fitted with the new UEFI embedded firmware solution. A suitable evaluation carrier board for COM Express Type 6 is also available. Pricing starts at $500. Congatec, San Diego, CA. (858) 457-2600. [www.congatec.us].

54

MARCH 2012 RTC MAGAZINE

A dual core Mini-ITX board features one of the richest I/O sets available for a wide range of embedded applications including ATM, kiosks, POS, digital signage, healthcare and digital media applications. The Via EPIAM910 from Via Technologies is available in both active and passive cooling configurations with the choice of either a performance oriented 1.6 GHz Via Nano X2 dual core processor or a fanless 1.0 GHz Via Eden X2 dual core processor, and is paired with the Via VX900 media system processor, which provides the ideal platform for today’s HD-intensive applications. The rich I/O set features HDMI and VGA display connectivity ports with pin headers for two 24-bit LVDS (one single channel and one dual channel), eight USB 2.0 ports, dual Gigabit LAN networking, eight COM ports (which can be expanded to twelve with the VIA LPC01/02 add-on card) and is available in SKUs with either DC-in or ATX power supply support, providing the utmost flexibility to match a wide range of embedded computing needs. The VIA EPIA-M910 is available with the choice of a 1.6 GHz VIA Nano X2 dual core processor or a fanless 1.0 GHz VIA Eden X2 dual core processor. Supporting up to 8 Gbyte of DDR3 system memory, it is paired with the VIA VX900 media system processor, featuring the latest ChromotionHD 2.0 video engine, which boasts comprehensive hardware acceleration for the latest VC1, H.264, MPEG-2 and WMV9 HD formats at screen resolutions of up to 1080p without incurring a heavy CPU load. Rear panel I/O includes dual Gigabit LAN ports, PS/2 support, one HDMI port, a VGA port, two RS-232 5v/12v selectable COM ports, four USB 2.0 ports and audio jacks. Onboard pin headers provide 2 x 24-bit LVDS support (including backlight control), two SATA ports, an additional six COM ports, a further four USB ports, Digital I/O and a PCIe x4 slot. The VIA EPIA-M910 is available with support for either ATX or DC-in power supplies. VIA Technologies, Fremont, CA. (510) 683-3300. [www.via.com.tw].


PRODUCTS & TECHNOLOGY

Platform Provides Lifecycle Management, Security, Safety and Reliability for Smart Grid Devices

A Next Generation Platform for Smart Energy provides a robust and expandable end-to-end solution for intelligent electronic device development and deployment including: optimized software development tools, middleware, hardware trace probes and industry-proven real-time operating system technology. Green Hills Software has extended its Smart Energy solution with a number of key enabling security technologies, providing the core technologies required to develop, deliver and maintain secure, resilient, smart grid devices. Major enhancements to the platform include a full suite of Security Toolkits from Green Hills’ subsidiary, Integrity Security Services (ISS). The ISS Security Protocol Toolkits offer a set of optimized and secured communication technologies for the Smart Grid device developer. The ISS IPsec/IKEv2 technology provides a high-quality, cryptographically secure solution for IP communication, providing authentication, data encryption and message integrity for embedded devices. ISS IPsec/ IKEv2 offers security, high performance and power management in a small memory footprint. And thirdly, the ISS SSH Secure Server provides a portable ANSI C SSH SDK for interactive shell and tunneled TCP/IP security. This capability provides an interactive secure telnet replacement, where shell communications are encrypted in the SSH secure tunnel. In addition, ISS SSH can be used as a general purpose security tunnel using the SSH exec protocol. Another component in the ISS Security Protocol Toolkit is the ISS SSL Security stack. This stack is useful in the smart grid sector as it enables standards-based secure communications from machineto-machine across wired and wireless technologies. The ISS Secure Loader capability is a secure installer and boot loader SDK designed specifically for the security of embedded platforms. The ISS Secure Loader enhances device integrity by enabling proper and secure installation, maintenance, future upgrades and rollbacks of smart grid embedded devices. Building on the Platform for Smart Energy, ISS Device Lifecycle Management (DLM) is an embedded device lifecycle management system designed to help device manufacturers monetize, manage and protect their intellectual property. The ISS DLM system is an environment that protects IP as well as the integrity of devices and data throughout the lifecycle, from design and manufacturing through deployment and remote maintenance. This technology ensures that only properly configured and authenticated devices are fielded and remotely maintained, with the right firmware, software and data. With this release, the Platform for Smart Energy adds another layer of security to embedded devices, providing Floodgate—Packet Filter Embedded Firewall Technology from Icon Labs. This fully integrated technology allows networked devices to control of the packets they process, protecting these devices against potentially malicious attacks. Development Tools

MULTI IDE, Compilers & Debugger

VectorCAST Unit Test & Coverage Analysis

Middleware and Security Toolkits

Data Management Failsafe File Systems Embedded Databases

TLS DTLS

Graphics Scalable 2D/3D Video

Security Protocol Toolkits – Suite B ISS ISS S/MIME SSH IPsec S-HTTP SFTP IKEv2

USB Host Device

Floodgate™ Embedded Firewall

PKI SNMP Keymask

INTEGRITY Security Services Device Life Cycle Management (DLM)

Device Certificate Authority

ISS Cryptographic API

ISS Embedded Cryptographic Toolkit

DLM Controller Applicance

DLM Distribution Appliance

ISS Secure Installer & Boot Loader Toolkit Real-Time Operating Systems

INTEGRITY

Critical App

Non-Critical APP

µ-velOSity

Guest OS

Guest OS

Multi-Threaded Application Microkernel

INTEGRITY Multivisor

Single Core Hardware

Single or Multicore Hardware

Services

Training

Security Assessments

Consulting

Xilinx Design Platforms for Accelerating 7 Series FPGA Design and Integration

Xilinx has launched a set of Targeted Design Platforms for accelerating systems development and integration with its 28nm 7 series FPGAs. The Targeted Design Platform approach is aimed at providing comprehensive development kits—complete with boards, ISE Design Suite tools, IP cores, reference designs and FPGA Mezzanine Card (FMC) support—so designers can begin applications development immediately. The new kits include the Virtex-7 FPGA VC707 Evaluation Kit, the Kintex-7 FPGA KC705 Evaluation Kit, and the Kintex-7 FPGA DSP Kit with high-speed analog. These kits will showcase applications featuring low-power, FMC migration, high-speed connectivity and advanced Digital Signal Processing (DSP) performance. The kits also support Xilinx’s Agile Mixed Signal (AMS) analog interface capabilities, now available in all its 28nm devices for enabling general purpose analog integration. The Kintex-7 FPGA KC705 Evaluation Kit provides a flex-Get Connected with technology and ible framework for designingcompanies providing solutions now Get Connected is a new resource for further exploration higher-level systems into products, technologies and companies. Whether your goal using DDR3, Gigais to research the latest datasheet from a company, speak directly bit Ethernet, PCI Express and other with an Application Engineer, or jump to a company's technical page, the goal of Get Connected is to put you in touch with the right resource. serial connectivity Whichever level of service you require for whatever type of technology, standards. An AMS Get Connected will help you connect with the companies and products header lets designers ex-searching for. you are plore the AMS technology and see how the feature can trim BOM cost. www.rtcmagazine.com/getconnected Other communications features—high-speed GTX transceivers, SFP+ and SMA connectors—further extend the list of advanced capabilities that can be evaluated and leveraged from this platform. ($1,695) The Kintex-7 FPGA DSP Kit, co-developed with Avnet Electronics Marketing, features the Kintex-7 FPGA KC705 board and includes Get Connected with to technology and companies prov an integrated high-speed analog FMC to interface real-world signals. Featuring dual-channel 800 16-bitisdigital-to-analog converters GetMSPS Connected a new resource for further exploration into pro from14-bit a company, speak directly with an Application Engine (DACs) and dual-channel datasheet 250 MSPS analog-to-digital converters in touch module with the right resource. Whichever throughput level of service you requir (ADCs), the high-speed analog delivers exceptional Get Connected will help you connect with the companies when combined with the massively parallel processing bandwidth of the and produc www.rtcmagazine.com/getconnected DSP48E1 arithmetic processing engines in the Kintex-7 FPGA. Data paths to and from the DSP slices can be created and integrated into systems using industry-standard AXI4 interface conventions. ($3,995) The Virtex-7 FPGA VC707 Evaluation Kit gives designers an easy starting point for evaluating and leveraging devices that deliver breakthrough performance, capacity and power efficiency. The kit is the optimal choice for advanced systems that need the highest performance and highest bandwidth connectivity. The kit speeds the development of designs that can leverage the full breadth of Virtex-7 FPGAs, all of which offer maximum power efficiency—requiring 50 percent less power than previous generation devices. ($3,495).

Ad Index

Products

Xilinx, San Jose, CA. (408) 559-7778. [www.xilinx.com]. Get Connected with companies and products featured in this section. www.rtcmagazine.com/getconnected

Green Hills Software, Santa Barbara, CA. (805) 965-6044. [www.ghs.com]. Icon Labs, West Des Moines, IA. (515) 226-3443. [www.iconlabs.com].

Get Connected with companies and products featured in this section. www.rtcmagazine.com/getconnected

RTC MAGAZINE MARCH 2012

55


PRODUCTS & TECHNOLOGY

3U OpenVPX SBC Runs Gen2 Core i7 with EFI BIOS Replacement

A new 3U OpenVPX Single Board Computer is based on the Intel second-generation Core i7 processor (Sandy Bridge) and is coupled with the Intel QM67 chipset and up to 8 Gbytes DDR3-1333 with ECC. The IC-INT-VPX3a from Interface Concept uses this fully integrated Dual Core processor operating at 2.2 GHz, to offer greater performance than its predecessors in the same envelope together with an unmatched level of I/O bandwidth like PCI Express, GigaEthernet, serial UARTs, GPIOs...for both onboard and off-board functions. In addition, the onboard Spartan-6 Open FPGA is dedicated to customer-specific functionalities, to make the IC-INT-VPX3a a suitable response for demanding applications such as radar processing, requiring performance, flexibility and reliability. The IC-INT-VPX3a uses the new UEFI 2.1 firmware interface. EFI is intended as a significantly improved replacement of the old legacy BIOS firmware interface historically used by all PC. The EFI specification was originally developed by Intel and is now managed by the Unified EFI Forum, officially known as Unified EFI (UEFI). This board, OpenVPX compliant, is available in standard, rugged and conduction-cooled grades, and operating systems supported include Windows, Linux, and VxWorks.

PCI Express Radar Acquisition Card

A new PCI Express-based primary radar acquisition card indicates the continued response to the growing adoption of the PCI Express bus as the primary motherboard-level interconnect on modern PCs. The HPx-200e card from Cambridge Pixel extends the product family, which already includes radar input cards in PCI and PMC form factors, to provide a x1 lane PCI Express option. The new card supports multiple analog and digital radar inputs, in addition to trigger and azimuth (ACP/ARP and parallel data) signals. The card also provides a capability to detect missing signals to provide

Interface Concept, Quimper, France. +33 (0)2 98 57 71 76. [www.interfaceconcept.com].

Fault Tracker Detects Problems in Complicated Control Systems

A simple-to-use, self-contained electrical test and fault-finding logger records and displays all on/off voltage changes at its 16 inputs. Applications include monitoring, logging and fault finding for control systems, security systems, heating and ventilation systems, production machinery, lift control gear, etc. FTR-Birdie from LaPlace Instruments, available through Saelig, can quickly solve expensive production line down situations in complex control systems, just like a “Black Box” accident recorder. FTR-Birdie can be connected to a maximum of 16 key points within an electrical system under test. The solid-state, isolated input channels are fused for maximum reliability and safety, and the input on/off status of each line is displayed by LED indicators and on an LCD display. A wide input range of voltages can be monitored, from 12V to 240VAC/DC, without any need to program, select, or configure the inputs. FTR-Birdie captures the time and date of up to 32768 line events (auto stop or overwrite) on 16 lines, which can later be replayed step-by-step for evaluation. The display shows the line states and the previous 40 changes that have occurred, so it is easy to see what preceded fault conditions and understand exactly what happened within the control system. Modern electrical systems, such as heating and ventilation controls, boiler controls, manufacturing and process control equipment, and railway signaling systems, usually have many inputs and outputs, and many internal connections with PLCs, contactors, switches, trips and controls. Finding the cause of malfunctions with conventional multimeters is a tedious, time-consuming task, especially if the fault is intermittent. FTR-Birdie is designed to be left on-site for such situations, recording the activity for as long as necessary. It contains an internal battery for up to 50 days use, but it is also supplied with a 110V power adaptor. The recorded data can be downloaded to any Windows PC via the serial or USB cables included, and the results displayed on-screen. The software provided includes a search feature that enables the user to specify a particular combination of inputs, thus quickly locating fault incidents. Saelig, Pittsford, NY. (585) 385-1750. [www.saelign.com]. LaPlace Instruments, North Walsham, Norfolk, UK. +44 (0) 16 92 40 20 70. [www.laplace.co.uk].

56

MARCH 2012 RTC MAGAZINE

software alarms for loss of triggers or azimuth data. The HPx-200e card supports a wide range of signal types and voltages, allowing connection to a diverse range of commercial and military radar types including those from Furono, Kelvin Hughes, Terma, JRC, Koden, Sperry, Raytheon, as well as specialist military radars. Capturing a single video input at 12-bits or 2 channels at 8-bits each, the HPx200e uses an FPGA to provide initial pre-processing of the radar video before transferring the video data to the driver software running under Windows or Linux. The onboard FPGA also offers capability for expanding the data processing functions for customized applications. For developers, the new HPx-200e card will be supported by a C/C++ board support library and the SPx software development kit for custom development of radar servers and clients. For users looking for a ready-to-run radar display client or tracking server, Cambridge Pixel’s RadarView and SPx Server packages will support the new card. Cambridge Pixel, Cambridge, UK. +44 (0) 1223 882174. [www.cambridgepixel.com].


REAL-TIME AND EMBEDDED COMPUTING CONFERENCE WWW.RTECC.COM W

COME TO RTECC REGISTER IT’S COMPLIMENTARY! AND MORE AWESOME THAN WORK! RTECC.COM

TAKE A DAY TO LEARN A BOUT THE NEWES T IID DEAS IN TH E EMBEDDED INDUSTRY. CHECK OUT THE LATEST DEMOS. LISTEN TO T ALKS FROM THE EXPERTS. GET OUT OF YOUR OFFIC E. RETURN WIT H INSIGHT A BOUT THE FUTUR E OF THE IN DUSTRY.


with an Application Engineer, or jump to a company's technical page, the goal of Get Connected is to put you in touch with the right resource. Whichever level of service you require for whatever type of technology, Get Connected will help you connect with the companies and products you are searching for.

www.rtcmagazine.com/getconnected

Advertiser Index Get Connected with technology and companies providing solutions now Get Connected is a new resource for further exploration into products, technologies and companies. Whether your goal is to research the latest datasheet from a company, speak directly with an Application Engineer, or jump to a company's technical page, the goal of Get Connected is to put you in touch with the right resource. Whichever level of service you require for whatever type of technology, Get Connected will help you connect with the companies and products you are searching for.

www.rtcmagazine.com/getconnected

Company

Page

Website

Advanced Micro Devices, Inc.............................................................................................60................................................................................................................. www.amd.com AdvancedIO Systems.........................................................................................................33....................................................................................................... www.advancedio.com Cogent Computer Systems, Inc..........................................................................................44.......................................................................................................... www.cogcomp.com COM Express Modules......................................................................................................11.........................................................................................................................................

Commell...........................................................................................................................48.......................................................................................................www.commell.com.tw End of Article Products Elma Electronic, Inc............................................................................................................2..................................................................................................................www.elma.com Extreme Engineering Solutions, Inc..................................................................................9, 17............................................................................................................ www.xes-inc.com Inforce Computing, Inc......................................................................................................29.............................................................................................. www.inforcecomputing.com Get Connected with companies and Get Connected Innovative ........................................................................................................19. .................................................................................................. www.innovative-dsp.com productsIntegration. featured in this section. with companies mentioned in this article. www.rtcmagazine.com/getconnected www.rtcmagazine.com/getconnected Intel Corporation.............................................................................................................30-31................................................................................................................www.intel.com JK Microsystems, Inc..........................................................................................................4.............................................................................................................. www.jkmicro.com Lauterbach........................................................................................................................18......................................................................................................... www.lauterbach.com LiPPERT Embedded Computers, Inc...................................................................................27...............................................................................................www.lippertembedded.com Get Connected with companies mentioned in this article. Logic Devices, Inc.............................................................................................................16.......................................................................................................www.logicdevices.com www.rtcmagazine.com/getconnected Get Connected with companies and products featured in this section. Logic Supply, Inc................................................................................................................8.........................................................................................................www.logicsupply.com www.rtcmagazine.com/getconnected MSC Embedded, Inc..........................................................................................................26..................................................................................................www.mscembedded.com MEDS...............................................................................................................................39...................................................................................................... www.medsevents.com Nallatech, Inc....................................................................................................................41............................................................................................................www.nallatech.com One Stop Systems, Inc......................................................................................................25................................................................................................www.onestopsystems.com Phoenix International..........................................................................................................4............................................................................................................ www.phenxint.com Portwell.........................................................................................................................32, 59......................................................................................................... www.portwell.com Real-Time Embedded Computing Conference.....................................................................57................................................................................................................ www.rtecc.com Small Form Factor SIG & Wireless Network Showcase........................................................36........................................................................................................................................ Super Micro Computer, Inc................................................................................................15........................................................................................................ www.supermicro.com Themis Computer..............................................................................................................45.............................................................................................................. www.themis.com Trenton Systems................................................................................................................49................................................................................................. www.trentonsystems.com Xembedded.......................................................................................................................37.......................................................................................................www.xembedded.com

ARE YOU

A seasoned embedded technology professional? Experienced in the industrial and military procurement process? Ever thinking about writing as a career? CONTACT SANDRA SILLION AT THE RTC GROUP TO EXPLORE AN OPPORTUNITY sandras@rtcgroup.com RTC (Issn#1092-1524) magazine is published monthly at 905 Calle Amanecer, Ste. 250, San Clemente, CA 92673. Periodical postage paid at San Clemente and at additional mailing offices. POSTMASTER: Send address changes to RTC, 905 Calle Amanecer, Ste. 250, San Clemente, CA 92673. Ride along enclosed.

58

MARCH 2012 RTC MAGAZINE



Fueling Innovation for Tomorrow’s Technology……Today AMD is ushering in a new era of embedded computing. The AMD Embedded G-Series processor is the world’s first integrated circuit to combine a low-power CPU and discrete-level GPU into a single embedded Accelerated Processing Unit (APU).

AMD is also proud to offer extended availability of the AMD Geode™ LX processor family until 2015.

Learn more about new levels of performance in a compact BGA package at: www.amd.com/embedded © 2011 Advanced Micro Devices, Inc. All rights reserved. AMD, the AMD Arrow logo, ATI, the ATI logo and combinations thereof are trademarks of Advanced Micro Devices, Inc. Other names are for informational purposes only and may be trademarks of their respective owners. Features, performance and specifications may vary by operating environment and are subject to change without notice. Products may not be exactly as shown. PID# 50599C


Issuu converts static files into: digital portfolios, online yearbooks, online catalogs, digital photo albums and more. Sign up and create your flipbook.